view tk/exdev.tokio @ 10:f2aa38ce0787

add state display.
author kono
date Fri, 19 Jan 2001 23:14:00 +0900
parents 1c57a78f1d98
children
line wrap: on
line source

/*
 Copyright (C) 1991, Shinji Kono, Sony Computer Science Laboratory, Inc.
                                  The University, Newcastle upton Tyne

 Everyone is permitted to copy and distribute verbatim copies
 of this license, but changing it is not allowed.  You can also
 use this wording to make the terms for other programs.

 send your comments to kono@csl.sony.co.jp
 $Id$
*/

% develop Local ITL formula into state diagram
%
% Tue May 24 19:20:39 JST 1994
%    reuiqre lite verifier

:-ensure_loaded('../tableau/lite').

deve(ITL) :-
	prolog(lite:(
	init,!,
	expand(ITL,ITL0),		% chop standard form
	itlstd(ITL0,StdNOW),		% BDT
	assert(itl_state(StdNOW,1)),!   % Initial State
	)),
	ITL0C <-- ITL0,
	@ deve0((1,ITL0C)).

deve0((S,ITL)) :-
        prolog(lite:(show_state(S,ITL),
	setof(Next,itldecomp(ITL,Next,S),Nexts))),
	NextsC <-- Nexts,@ deve1(NextsC). % NextsC is temporal constants
deve0(_) :- empty.

deve1([]):- empty.
deve1([H|T]) :- deve0(H) & deve1(T).

/* end */