# HG changeset patch # User Shinji KONO # Date 1244041597 -32400 # Node ID f872cc000fe0b9cd8c848213acc79a6778881d75 # Parent bbc2375153d7a9de72762add67da45299da74dc8# Parent 64b57b4ba9e2aebfe566e7adc30f296456a97c43 merge diff -r bbc2375153d7 -r f872cc000fe0 Document/Cerium_2009.mm --- a/Document/Cerium_2009.mm Thu Jun 04 00:03:49 2009 +0900 +++ b/Document/Cerium_2009.mm Thu Jun 04 00:06:37 2009 +0900 @@ -13,8 +13,16 @@ +<<<<<<< local +======= + +>>>>>>> other +<<<<<<< local +======= + +>>>>>>> other diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Cell/CellTaskManagerImpl.cc diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Cell/SpeThreads.cc --- a/TaskManager/Cell/SpeThreads.cc Thu Jun 04 00:03:49 2009 +0900 +++ b/TaskManager/Cell/SpeThreads.cc Thu Jun 04 00:06:37 2009 +0900 @@ -154,7 +154,7 @@ } void -SpeThreads::add_output_tasklist(int command, void *buff, int alloc_size) +SpeThreads::add_output_tasklist(int command, unsigned int buff, int alloc_size) { /* * output TaskList が無ければ新しく作る diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Cell/spe/CellDmaManager.cc --- a/TaskManager/Cell/spe/CellDmaManager.cc Thu Jun 04 00:03:49 2009 +0900 +++ b/TaskManager/Cell/spe/CellDmaManager.cc Thu Jun 04 00:06:37 2009 +0900 @@ -66,6 +66,16 @@ } void +CellDmaManager::show_dma_wait(int cpu) +{ + + printf("spu%d: global_wait_time = %lld\n",cpu, global_wait_time); + printf("spu%d: global_busy_time = %lld\n",cpu, global_busy_time); + printf("spu%d: busy_ratio = %g%%\n",cpu, + ((double)global_busy_time)/((double)(global_busy_time+global_wait_time))*100.0); +} + +void CellDmaManager::dma_wait(uint32 mask) { #ifdef SPU_PROFILE diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Cell/spe/CellDmaManager.cc~ --- a/TaskManager/Cell/spe/CellDmaManager.cc~ Thu Jun 04 00:03:49 2009 +0900 +++ /dev/null Thu Jan 01 00:00:00 1970 +0000 @@ -1,73 +0,0 @@ -#include -#include -#include "CellDmaManager.h" - -/** - * DMA Load - * - * @param[in] buf Buffer of Load Data - * @param[in] addr Address of Load Data at Main Memory - * @param[in] size Size of Load Data - * @param[in] mask DMA tag -*/ -void -CellDmaManager::dma_load(void *buf, uint32 addr, uint32 size, uint32 mask) -{ - if (size == 0) return ; - mfc_get((volatile void *)buf, addr, size, mask, 0, 0); -} - -/** - * DMA Store - * - * @param[in] buf Buffer of Store Data at SPE - * @param[in] addr Address of Store Data at Main Memory - * @param[in] size Size of Store Data - * @param[in] mask DMA tag -*/ -void -CellDmaManager::dma_store(void *buf, uint32 addr, uint32 size, uint32 mask) -{ - if (size == 0) return ; - mfc_put((volatile void *)buf, addr, size, mask, 0, 0); -} - -/** - * DMA Wait - * - * @param[in] mask Tag for Wait DMA process - */ -void -CellDmaManager::dma_wait(uint32 mask) -{ - mfc_write_tag_mask(1 << mask); - mfc_write_tag_update_all(); - mfc_read_tag_status(); -} - -void -CellDmaManager::mail_write(uint32 data) -{ - spu_write_out_mbox(data); -} - -unsigned int -CellDmaManager::mail_read(void) -{ - unsigned int mail = spu_read_in_mbox(); - return mail; -} - -void -CellDmaManager::dma_loadList(ListDataPtr list, void *buff, uint32 mask) -{ - mfc_getl(buff, 0, list->element, sizeof(mfc_list_element_t)*list->length, - mask, 0, 0); -} - -void -CellDmaManager::dma_storeList(ListDataPtr list, void *buff, uint32 mask) -{ - mfc_putl(buff, 0, list->element, sizeof(mfc_list_element_t)*list->length, - mask, 0, 0); -} diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Cell/spe/SchedExit.cc --- a/TaskManager/Cell/spe/SchedExit.cc Thu Jun 04 00:03:49 2009 +0900 +++ b/TaskManager/Cell/spe/SchedExit.cc Thu Jun 04 00:06:37 2009 +0900 @@ -7,6 +7,7 @@ { delete p; + m->show_dma_wait(); __debug("SchedExit::next()\n"); return NULL; diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Cell/spe/SchedMail.cc --- a/TaskManager/Cell/spe/SchedMail.cc Thu Jun 04 00:03:49 2009 +0900 +++ b/TaskManager/Cell/spe/SchedMail.cc Thu Jun 04 00:06:37 2009 +0900 @@ -20,10 +20,15 @@ __debug("[SchedMail:%s]\n", __FUNCTION__); +<<<<<<< local if ((int)params_addr == MY_SPE_COMMAND_EXIT) { // ppu 眼 spu 絎茵倶茵腓榊 Task // counter global return new SchedExit(m); +======= + if ((int)params_addr == MY_SPE_COMMAND_EXIT) { + return new SchedExit(); +>>>>>>> other } else { return new SchedTaskList(params_addr, m); } diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Fifo/FifoDmaManager.o Binary file TaskManager/Fifo/FifoDmaManager.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Fifo/FifoTaskManagerImpl.o Binary file TaskManager/Fifo/FifoTaskManagerImpl.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Fifo/MainScheduler.o Binary file TaskManager/Fifo/MainScheduler.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Makefile --- a/TaskManager/Makefile Thu Jun 04 00:03:49 2009 +0900 +++ b/TaskManager/Makefile Thu Jun 04 00:06:37 2009 +0900 @@ -34,6 +34,7 @@ rm -f $(IMPL_FIFO_OBJS) $(IMPL_CELL_OBJS) $(MAKE) -f Makefile.cell cellclean $(MAKE) -f Makefile.fifo fifoclean + rm -f *.a tags: $(TAGS) $(TAGSOPTION) diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/Button.o Binary file TaskManager/Test/test_render/Button.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/Camera.o Binary file TaskManager/Test/test_render/Camera.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/Joystick.o Binary file TaskManager/Test/test_render/Joystick.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/Keyboard.o Binary file TaskManager/Test/test_render/Keyboard.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/Pad.o Binary file TaskManager/Test/test_render/Pad.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/SGList.o Binary file TaskManager/Test/test_render/SGList.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/SceneGraph.o Binary file TaskManager/Test/test_render/SceneGraph.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/SceneGraphIterator.o Binary file TaskManager/Test/test_render/SceneGraphIterator.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/SceneGraphRoot.o Binary file TaskManager/Test/test_render/SceneGraphRoot.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/SpanC.o Binary file TaskManager/Test/test_render/SpanC.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/TextureHash.o Binary file TaskManager/Test/test_render/TextureHash.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/ball_bound.o Binary file TaskManager/Test/test_render/ball_bound.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/base64_de.o Binary file TaskManager/Test/test_render/base64_de.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/boss1_action.cpp --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/TaskManager/Test/test_render/boss1_action.cpp Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,261 @@ +#include "boss1_action.h" + +static void +null_move(SceneGraphPtr node, int screen_w, int screen_h) +{ +} + +static void +null_collision(SceneGraphPtr node, int screen_w, int screen_h, + SceneGraphPtr tree) +{ +} + +static void +boss1_move_right(SceneGraphPtr node, int screen_w, int screen_h) { + node->xyz[0] += node->stack_xyz[0]; + if(node->xyz[0] > (screen_w - boss_radius_x)) { + node->set_move_collision(boss1_move_left, null_collision); + } +} + +//鴻綏腱糸篏 +static void +boss1_move_left(SceneGraphPtr node, int screen_w, int screen_h) { + node->xyz[0] -= node->stack_xyz[0]; + if(node->xyz[0] < boss_radius_x) { + node->set_move_collision(boss1_move_right, null_collision); + } +} + +//鴻篏臀御祉篏 +static void +boss1_move_return(SceneGraphPtr node, int screen_w, int screen_h) +{ + node->xyz[1] -= node->stack_xyz[1]; + node->xyz[2] -= node->stack_xyz[2]; + + if((node->xyz[2] = 0)) { + node->stack_xyz[0] = 1.0; + node->set_move_collision(boss1_move_left, null_collision); + } +} + +//合糸贋 +static void +boss1_first_move(SceneGraphPtr node, int screen_w, int screen_h) +{ + node->xyz[1] += node->stack_xyz[1]; + if(node->xyz[1] > screen_h) { + float time = first_boss1_depth / node->stack_xyz[2]; + node->stack_xyz[1] = (screen_h - boss_radius_y) / time; + node->stack_xyz[2] = return_boss1_depth_speed; + node->set_move_collision(boss1_move_return, null_collision); + } +} + +static void +player_move(SceneGraphPtr node, int screen_w, int screen_h) +{ + Pad *pad = sgroot->getController(); + + if (pad->left.isPush() + || pad->left.isHold()) { +#if 0 + SceneGraphPtr player_left; + player_left = sgroot->createSceneGraph(PLAYER_L); + player_left->set_move_collision(player_move_left, null_collision); + player_left->xyz[0] = node->xyz[0]; + player_left->xyz[1] = node->xyz[1]; + node->addChild(player_left); + node->flag_drawable = 1; +#endif + node->xyz[0] -= player_speed; + + if (node->xyz[0] - player_radius< 0) { + node->xyz[0] = player_radius; + } + } + + + if (pad->right.isPush() + || pad->right.isHold()) { + node->xyz[0] += player_speed; + + if (node->xyz[0] + player_radius > screen_w) { + node->xyz[0] = screen_w - player_radius; + } + } + + if (pad->up.isPush() + || pad->up.isHold()) { + node->xyz[1] -= player_speed; + + if (node->xyz[1] - player_radius < 0) { + node->xyz[1] = player_radius; + } + } + + if (pad->down.isPush() + || pad->down.isHold()) { + node->xyz[1] += player_speed; + + if (node->xyz[1] + player_radius > screen_h) { + node->xyz[1] = screen_h - player_radius; + } + } + + if (pad->circle.isPush()) { + SceneGraphPtr shot = sgroot->createSceneGraph(P_SHOT1); + shot->set_move_collision(shot_move, shot_collision); + shot->xyz[0] = node->xyz[0]; + shot->xyz[1] = node->xyz[1] - player_radius; + node->addBrother(shot); + } +} + +static void +player_collision(SceneGraphPtr node, int screen_w, int screen_h, + SceneGraphPtr tree) +{ + //罘鴻x,y綺фс莊≪2拷莊 + static float x_distant, y_distant, distance; + //鴻茹綵≪綺ф + // static float boss_low_x, boss_low_y, boss_high_x, boss_high_y; + + SceneGraphIteratorPtr it = sgroot->getIterator(tree); + + + for (; it->hasNext(BOSS1);) { + it->next(BOSS1); + SceneGraphPtr enemy = it->get(); + + //紊違 + x_distant = node->xyz[0] - enemy->xyz[0]; + y_distant = node->xyz[1] - enemy->xyz[1]; + + //hypotf2拷莊≪羆 + distance = hypotf(x_distant, y_distant); + + /*茹綵≪collision + if( (fabs( node->xyz[1] - ( boss_low_y ))) + */ + + //紕collision + if(distance < (player_radius + boss_radius_y)) { + printf("!!!CAUTION!!!\n"); + } + } +} + +static void +shot_move(SceneGraphPtr node, int screen_w, int screen_h) +{ + node->xyz[1] -= shot_speed; + + // 脂 + if (node->xyz[1] < 0) { + node->remove(); + } +} + +static void +shot_collision(SceneGraphPtr node, int screen_2, int screen_h, + SceneGraphPtr tree) +{ + //罘鴻x,y綺фс莊≪2拷莊 + static float x_distant, y_distant, distance; + //鴻茹綵≪綺ф + // static float boss_low_x, boss_low_y, boss_high_x, boss_high_y; + + SceneGraphIteratorPtr it = sgroot->getIterator(tree); + + + for (; it->hasNext(BOSS1);) { + it->next(BOSS1); + SceneGraphPtr enemy = it->get(); + + x_distant = node->xyz[0] - enemy->xyz[0]; + y_distant = node->xyz[1] - enemy->xyz[1]; + + //hypotf2拷莊≪羆 + distance = hypotf(x_distant, y_distant); + + //紕collision + if(distance < boss_radius_y) { + SceneGraphPtr blast = sgroot->createSceneGraph(BLAST1); + + blast->set_move_collision(blast_move, null_collision); + blast->xyz[0] = node->xyz[0]; + blast->xyz[1] = node->xyz[1]; + node->addBrother(blast); + node->remove(); + } + } +} + +static void +blast_move(SceneGraphPtr node, int screen_w, int screen_h) +{ + if(node->sgid > BLAST8) { + SceneGraphPtr blast = sgroot->createSceneGraph(node->sgid - 1); + blast->set_move_collision(blast_move, null_collision); + blast->xyz[0] = node->xyz[0]; + blast->xyz[1] = node->xyz[1]; + node->addBrother(blast); + } + + if (node->sgid =BLAST8) { + node->flag_drawable = 1; + } + + if((node->frame > 1)) { + node->remove(); + } + node->frame += 1; +} + +void +boss1_init(int screen_w, int screen_h) +{ + SceneGraphPtr root; + SceneGraphPtr player; + SceneGraphPtr boss1; + SceneGraphPtr left_parts; + SceneGraphPtr right_parts; + + sgroot->createFromXMLfile("xml_file/boss1.xml"); + sgroot->createFromXMLfile("xml_file/player.xml"); + sgroot->createFromXMLfile("xml_file/p_shot.xml"); + sgroot->createFromXMLfile("xml_file/blast.xml"); + + //rootSceneGraph + root = sgroot->createSceneGraph(); + + //罘 + player = sgroot->createSceneGraph(PLAYER); + player->xyz[0] = screen_w/2; + player->xyz[1] = screen_h - player_radius; + root->addChild(player); + + //鴻 + boss1 = sgroot->createSceneGraph(BOSS1); + boss1->xyz[0] = screen_w/2; + boss1->xyz[1] = boss_radius_y; + // boss1->xyz[2] = first_boss1_depth; + boss1->stack_xyz[0] = first_boss1_speed; + root->addChild(boss1); + + //鴻綏劻若菴遵 + left_parts = sgroot->createSceneGraph(BOSS1_L); + boss1->addChild(left_parts); + right_parts = sgroot->createSceneGraph(BOSS1_R); + boss1->addChild(right_parts); + + //罘篏綵ゅ祉 + player->set_move_collision(player_move, player_collision); + boss1->set_move_collision(boss1_move_left, null_collision); + + //篁筝 + sgroot->setSceneData(root); +} diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/boss1_action.h --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/TaskManager/Test/test_render/boss1_action.h Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,54 @@ +#include +#include "SceneGraphRoot.h" +#include "SGList.h" + +static const float player_speed = 10.0f; +static const float player_radius = 42.0f; + +static const float boss_radius_x = 65.4f; +static const float boss_radius_y = 130.8f; +static const float first_boss1_speed = 10.0; +static const float first_boss1_depth = 500.0; +static const float return_boss1_depth_speed = 10.0; + +static const float shot_speed = 30.0f; +static const float shot_radius = 42.4f; + + + +static void +null_move(SceneGraphPtr node, int screen_w, int screen_h); + +static void +null_collision(SceneGraphPtr node, int screen_w, int screen_h, + SceneGraphPtr tree); + +static void +boss1_move_right(SceneGraphPtr node, int screen_w, int screen_h); + +static void +boss1_move_left(SceneGraphPtr node, int screen_w, int screen_h); + +static void +boss1_move_return(SceneGraphPtr node, int screen_w, int screen_h); + +static void +boss1_first_move(SceneGraphPtr node, int screen_w, int screen_h); + +static void +player_move(SceneGraphPtr node,int screen_2, int screen_h); + +static void +player_move_left(SceneGraphPtr node,int screen_2, int screen_h); + +static void +player_collision(SceneGraphPtr node, int screen_w, int screen_h, + SceneGraphPtr tree); +static void +shot_move(SceneGraphPtr node, int screen_w, int screen_h); + +static void +shot_collision(SceneGraphPtr node, int screen_2, int screen_h, + SceneGraphPtr tree); +static void +blast_move(SceneGraphPtr node, int screen_w, int screen_h); diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/bullet_action.o Binary file TaskManager/Test/test_render/bullet_action.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/camera_action.o Binary file TaskManager/Test/test_render/camera_action.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/cube_action.o Binary file TaskManager/Test/test_render/cube_action.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/direction.o Binary file TaskManager/Test/test_render/direction.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/enemy_action.o Binary file TaskManager/Test/test_render/enemy_action.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/hit_judge.o Binary file TaskManager/Test/test_render/hit_judge.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/ieshoot.o Binary file TaskManager/Test/test_render/ieshoot.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/init_position.o Binary file TaskManager/Test/test_render/init_position.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/long_cube.o Binary file TaskManager/Test/test_render/long_cube.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/main.o Binary file TaskManager/Test/test_render/main.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/node.o Binary file TaskManager/Test/test_render/node.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/panel.o Binary file TaskManager/Test/test_render/panel.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/player_action.o Binary file TaskManager/Test/test_render/player_action.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/polygon.o Binary file TaskManager/Test/test_render/polygon.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/post.o Binary file TaskManager/Test/test_render/post.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/sys.o Binary file TaskManager/Test/test_render/sys.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/task/CreatePolygon.o Binary file TaskManager/Test/test_render/task/CreatePolygon.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/task/CreatePolygonFromSceneGraph.o Binary file TaskManager/Test/test_render/task/CreatePolygonFromSceneGraph.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/task/CreateSpan.o Binary file TaskManager/Test/test_render/task/CreateSpan.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/task/DrawBack.o Binary file TaskManager/Test/test_render/task/DrawBack.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/task/DrawSpan.cpp --- a/TaskManager/Test/test_render/task/DrawSpan.cpp Thu Jun 04 00:03:49 2009 +0900 +++ b/TaskManager/Test/test_render/task/DrawSpan.cpp Thu Jun 04 00:06:37 2009 +0900 @@ -265,10 +265,17 @@ DrawSpan::updateBuffer(float zpos, int rangex, int x, int y, int tex_x, int tex_y, uint32 *tex_addr) { + + int rgb = get_rgb(tex_x, tex_y, tex_addr); - - zRow[x + (rangex*y)] = zpos; - linebuf[x + (rangex*y)] = rgb; + /*筝篏4bit遵*/ + int alpha = rgb & 0x000F; + /*絎ゆ*/ + int flag = (alpha != 0); + + zRow[x + (rangex*y)] = zpos*flag + zRow[x + (rangex*y)]*(1-flag); + linebuf[x + (rangex*y)] = rgb*flag + linebuf[x + (rangex*y)]*(1-flag); + } /** diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/task/DrawSpan.o Binary file TaskManager/Test/test_render/task/DrawSpan.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/task/DrawSpanRenew.o Binary file TaskManager/Test/test_render/task/DrawSpanRenew.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/task/Load_Texture.o Binary file TaskManager/Test/test_render/task/Load_Texture.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/task/Set_Texture.o Binary file TaskManager/Test/test_render/task/Set_Texture.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/task/TileHash.o Binary file TaskManager/Test/test_render/task/TileHash.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/task/create_sgp.o Binary file TaskManager/Test/test_render/task/create_sgp.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/task/dummy.o Binary file TaskManager/Test/test_render/task/dummy.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/task/task_init.o Binary file TaskManager/Test/test_render/task/task_init.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/task/update_sgp.o Binary file TaskManager/Test/test_render/task/update_sgp.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/test_nogl Binary file TaskManager/Test/test_render/test_nogl has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/texture.o Binary file TaskManager/Test/test_render/texture.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/triangle.o Binary file TaskManager/Test/test_render/triangle.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/universe.o Binary file TaskManager/Test/test_render/universe.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/untitled.o Binary file TaskManager/Test/test_render/untitled.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/vacuum.o Binary file TaskManager/Test/test_render/vacuum.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/vertex.o Binary file TaskManager/Test/test_render/vertex.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/viewer.o Binary file TaskManager/Test/test_render/viewer.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/viewerFB.o Binary file TaskManager/Test/test_render/viewerFB.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/viewerSDL.o Binary file TaskManager/Test/test_render/viewerSDL.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/viewer_types.o Binary file TaskManager/Test/test_render/viewer_types.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/xml.o Binary file TaskManager/Test/test_render/xml.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/xml_file/blast.h --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/TaskManager/Test/test_render/xml_file/blast.h Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,8 @@ +#define BLAST8 scene_graph +#define BLAST7 scene_graph->next +#define BLAST6 scene_graph->next->next +#define BLAST5 scene_graph->next->next->next +#define BLAST4 scene_graph->next->next->next->next +#define BLAST3 scene_graph->next->next->next->next->next +#define BLAST2 scene_graph->next->next->next->next->next->next +#define BLAST1 scene_graph->next->next->next->next->next->next->next diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/xml_file/blast.xml --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/TaskManager/Test/test_render/xml_file/blast.xml Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,1228 @@ + + + + + -29.624865 -29.644538 7.000000 + 29.703573 -29.644557 7.000000 + 29.703596 29.683904 7.000000 + 29.703596 29.683904 7.000000 + -29.624857 29.683904 7.000000 + -29.624865 -29.644538 7.000000 + + + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + + + 0.039362 0.019681 7.000000 + + + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 + + + iVBORw0KGgoAAAANSUhEUgAAAEAAAABACAYAAACqaXHeAAAACXBIWXMAAAsTAAALEwEAmpwYAAAc + RklEQVR4Ae2be6xnV1XH1z6v3+vO887MbefBlEKLtOVRkVJppdAghBIg0BAfEbWJf5igQsRolCBK + DEjUmBiNibwSkQBRKgIhCMWKhPKyaUt5tUKhD6Yz03nPvb/7+/3OOXv7+a7zu9Ppax5t8R/ZM+ee + c8/ZZ++1vuu51z7X7P95C/8X/C8wyaaQ2QaOhZBbCNFqSza1aJMUbZqCzegzTebnlnPkecs9HT/O + 9mMF4BmhtKuynm0N+SVFZi8sgj3FwKHKbGOWWS+EBJsxtRZiE0LdpLDaJFtOKR22lPbx6PttjF9t + LKwcA5TvxdbubGu7nzeeLGB+LAAMLdjLe0N7TszfvqFuJ8MsvMuKFGKGVEObSmbVARAhD8FSFrg/ + PxB3isi/jRYbGI1peZbsp8ch+59plttxxv5m09iX6tpWngTVeNIB2JmZXd3v95+aVb907iy+f8Ns + ZoXUuUhW59GaLDrzPWbWUcBvAAArspDyzKIomjMfazMwsNVk7xvn9t/TPL/kWMh+6kCMh++v2z/8 + Qm3f24tmPJGm6Z6UVjHKC2HgWXnxscUse+XGYL1RW6dBiqFA1X0i/eDAFOC3O3IpANeZVGH+HEXB + KOgbMRDOE44x95Y5ZAoHo7VHzI5yfunnGrtlP/ceb9OUT7idxyg/lxXVeSF/y/aQvbNIdbKsCRni + xedZCYEVTJQc3FJLPAv4A38uBeBS/CedyywAWsa97u4UjRjXrS3XKR1tLK4Eyw+Z1eMsHDpo4QXX + z+LdRx8nCI8bAJG2xPHcELafn+dvXAzhV5cs7VwfcWWZzXoFGg7zOlzi9C1giBiAQcApP3B27u0D + Ikf+xnsmbVAfAZDTX2rRtCnNcAYOBCFiEkJ2DDexjJs4YuE7X2/jZWjCKsOedZsL5MzfK+n6nFDY + 01MaLVr4k00hvGk9VG4qQtHHaDH1NMyt6tNRzIix7pylLJfrw86l2g3UI1nXcYEBUIoJREQHKAJO + RogUBHqc5Xkc5DkxJcumvN+LMYyamBd1e/H5uf3TYrRrMYmzbkx7Zg26PJa/sj+wCzO7dCmzGzbn + 6RVbCis3IbL1pYVRSZzvhzDAvVdVHvBrhjY7CuKVEEesw4A54I1D3M5Rop88hUBQ3xZJN7zQeF+A + AOQyL0JZ5IarkQ/hd4tVkWWTLD4Tf/nDu1u7Tdp1Nu2MAVhCjK/t9213Fn5hKU+f2hDilsXS8nUY + +KiI1oOYUVWEUb8XqrIMNNfrZHmAB6tjClNc+rQGADiUcxPz7gikFfqVA+HCdHfUM0s11zQFilCU + AgBDyhkVs+lXWVYB9iy0RnB5Vd7YJ4gKezXWmbYzMoGtkPnaXs92WPr9LbH5835o22GRspKwhhQU + zkKVUtZPKRSz1hr0NyHiBjVGMmKegzPMKYEJ6Dk8WM79XGwrIYKhGHhNZ24JIE4888eOTKaYyO8l + VONjMC/PGeO5gzJvS6wk1DdsO26X7SntrjsB94i0R2Ocop0WAKWxPw/qS8HeurVt/myRTGxYWoG2 + Wy4iAEAhEA8fRGCctQnG05R7MwCpUe0GoiVIWbS0nv+u/hH6W7I73XAfABAojDtGOUnvyPs4/6Ru + eEOww8eSMmBheAP525iHsorVsJ/V7fLieLX+JD7oyh297PBNY7O9Qv4U7ZQmIMZekVe2O89+Y1Nq + /nJDamwEfQuVZYOKQA8KmCQU5AENtylzjdvWVhHhpJN+hjYYThwOu0NCprN467wbc8jxeQKEJklm + 8g8uurlHlMbIphwRTviW0IXKpJBpZVlhIoXNZo1NZy0ByV4GZNef28vH9+JHyCQfs50SgKvzvj2r + qC7bYu31MF+MgHtUWd4HmQr9L4o8ZqSnMB+mMYQVGCM0hTEMaGEjpydeJFg0e672igNzPMQwv3R8 + dhHAu/MSIMgmkLDcKFzyFtPIPBLCR/HEvJxhRgJJqsUgNQ6jqVtl0tvxI788KMOepUH1re+udo7k + 0VB4TBN4al7as4uenWvp/aMYC2zcBki+N0QCBewwMeEs4NHDDKanzDET2ui7pM5/j+tQbgQIzwUq + ziK+Vhyk4eMEkiuH+knqAosjCADPAoQQjIt5jdvAHZDj9CIhF3hgHP0DjNbWoV3bSLDkKpD6DgbZ + tZFwfGE/tzsnsqFHtscE4Pn9kS3GcOXmtrmYmCuVTUg+EOCVu2OTqDY2OZsBgJhHh+sWCXGOENbC + jauupMTLkiXMkww5M0EO0h0lNDka/JCWrGkLVxqBh3oZ6XPNyhBz4leSoowlYglKJEx0YLYspSFm + shmvvErWOKEbI9ye5/amqxbKD901aQ88mh48qgkMmOzKqrItTfPGdbPpFQO8/aBvYUh2U2H0CSpQ + tYC2ZS55VPaEl4dACVgm77LjmaSEjvo9JTiKBiKGnNHDnyMgW+G+H5wyZwwedEs/4VNd9BtXCrJd + gsV9+Rg0A4zxSdA3g7CG8NOvwmhYFW9mtXnRkZg+vFcTP6w9KgDnMdAlIQxH9fQ9C9asHxJrGcn6 + xB+AduaZJJtK1cS8GOIs6fgUEhec5qJpzpieqQgyQXo1wqnFPJpCL+dQjm/e1WXn8tNozilUAwIQ + cluT+CxuYgyjqENgxjSgryjLpAQqQhh5yUU9chP6XLiQ26dvWW33aI6T2yMAENiXM9H2YO/amoeX + b0R/R4PSegRe2Qu6G8jOwgSOJtChcCfpy6rdsplNNKruI5rlxBSsWkBVSCSPFwAokdQao5C5IEJZ + KIZGX4DpfB6yFsuaFI4ZTP9FH6buGDCV3tHdEND1slJ8LkI7bUPkQG5oBOMpIwlp0/2z8M/7JYmT + 2iN8wA4eLgZ7/cjiW4YgOiDFk9NTmIo1P0l0PLGhn6Q4hURHFacFvXCgCWS/8gPwTbagctdqSvXE + hZ71JBItdNAOhXWsIS8bDEt44fgIaDhO5pN0XEJcuxfgLEQ0g+aU9snhKRbnABA9ImkNwVqBTgGf + obRyPcWYabDXvaBXbPzWrD2i99faQwAYcPd5hZ2/Nbf3boCUAWPCfxaJ/zVibiGVwM7EXU0PP+QS + QIIkcVDfpexKftKMJd4UZjjiSop7VlJ7+7iJYzSmyEN2Ti8rn9bL8i1E8JJaGGoc8DSxqZIV69CK + 9Yzdh54cGKVL2Dc+k7AjZOdNawYpXCvp8I4WVwImR7H6EK5UU9nlOg8WIX9KVVy9M0/X30syt9ZO + ACBwr2CFsbsMf72UhXUbQXUBSguGnPHCBMYJsynH009RIyUXsmnI61IbAGCMEHFeqHkcF3l+GM2m + hLXvUBMv+G6Mkx8yh69ZMfh+O7NNLA+3ZcXOLbm9FEyvIZq9fGCx2BpDW2RFjuA8hFIrEQbolzAA + BfS+UzQmgnn5D5mFA6Bf+DWvACALMUd8rExIHi1tKbLzLx0M7N7lRwHgGajPM/OswvZfthl9W0AX + KxYZbqCAAMBKaVnYdF7cTUnwIx+6oi8iAj2G0knIKFiwKiFJ3Bfj734xtpNlkXVSW+X54djUd8Xm + BwD3Hh2k3eVTzd7MmH+8MeULBJ40AFBxj2xgRfkFrtOnReJuamghxNTE4pyLUCgnhW2oKkizyBEi + 5gBM2a1Lebjt2cTyT0LMmhm4OunHFaWqt9mvbCV6LBKDBryYUZlUWqVGtiub9vKUbI+pIAZY54ec + mpwbKk/ZKiXqdnZvU//VDU37kYcz7wOe9EPEaJZjKNVtZn/xJYu7j6Rw7xgnOUYbGvcATiqjgzh9 + hQGY+D+UgjS4TphpkpnWpOM1ZkvOHfAlaJK9i4Xa84YWP7cVnR/Jyc6bj7oLB7LZ0j9uSPG961Ch + Efj0UZocuUb8gLQM80paXEnyOtzrMwgmQEYXjDJVu1zk2QGWaPtRyv0xfvRL0X7vQWVbm/L05z0W + D/1rHF+Era7si01+CE+3yqTkXS45hYQTEtTFnB5llTJNCUq3pbZJpWizu3njDcMivHehDK9ecH1V + ByVmtF2Ej2Ebz1nAJfdxxlRdKFlnuGdKUaRYlKTEuTtVB4KhwULMq4/V9F1lUTAGToqV+QNt+viN + bfuLZGOPu2E+yzfZ9DWXmt2AbbcVC+8hrHgmyahQJJsACto8XMK4QoqvOZR9umOUhgb7B3lold5K + SxdtyLNP7PXwoehCO7dX2iil4yOUixo+0scYOzVRykraLRdLdEFPO4PQvNrfQSuYnZ2M6XFs8yDh + YF/TfOaLbXvtcQ38BNsdZp/fa7YfULMxK21ljp3JSQMlWC2w10AgGuCM5KdEY5crqH9B0kXRRL15 + QiFl90glpXnzq8VKFcy0MlT4ALqSM9k+QieFgHmkTuYiuwNEHrlb8itA4PcaH7XM+WBsv3NrbF+9 + /wROa9M8vrOkuc/s78a485njT27hykg0cADEfOcKRZwY1zs6oxQeHSQ7hWtoxEcpgLTrqdrRo2sO + wKgszx8V5TUDAcFiQqrSwHGLRyECSteZHtXiHZafkAO3XAsI/ZixIj1ozeyHWbzuTs3zJLbVYDdk + zEkoJBHQ8snDbae6a/OIGA7xpUPKKyEjR24DEGdl3LASVuqGSoYg6pr7gDLLPtyvqsWK4j2Wr2jG + kpJNLC3pgFQo+eAQ4qzzPosPslaluTge4B7jbW5t7WtrAz9ZZ/zMnSRLZIawhfhIANw4JQQJRfN3 + cpgzD8nadNESPIcTxS7VEKnQej3y+HRWSLBrzQGA2c/mhD582c8o1WqRPvsPaAK5ANYvSSt5lcfH + qPyZnBB9YJtIS7QEYEqjjtWD8K7N8gTOKN+KiOxsHcyRgPYNfCMJckSSsFH2RwKKAAGATjpyD+Ew + r348n1KlOa5V7Dy0iyw3gUlTv41BPiLmG+pVLCWAulOjPm63X+RJK0FK0O4cEYWrokDgCjcTQg8n + dbnZaxxRjfwkNWJ4U8jeSUgAAS7FJGhzeDSDA3I4VF7JEv5rjXlURZXjQKFEJi3kqFHasoq2oLrW + HIDxVGs6DS3hsYblpyZwVeKiovZEnT+VZU7pFY2AEhgNquwWQFGxwmXtkJ0bij+4KlS22OGqMZ9w + w2BHSj9gQFmPSHNKO1cnLZUTRM3R2hIOeuQ0oreoWCBSuuNMFVlZISiBH04U432QLBfYSs2nCkVv + SV5fqxq6+mpMfGj/mg2Z5Kst5E2c8ZocGZaHmx6YraO7Us+QFy/AVj/w4jb/6v1t+8Gv22zliXrE + BQvbRLm00hVBnCNQ2b5cEk7eNUImAs9EMbQUtZFWSFMgE/MAADp6YUYL2A5GR8E1QMtbmN/RAAAh + j/ksUUpLBAUqrlR/e3moOHxPQpvY+B+9yDy+7CSHsI0cm2Kcbbfw6ztT+PtL2LN7vVWXa0/hibRN + vv1oKLJWeki702aV5MFbxVlVfsyGbE6IeQQO4xIkjKCiGc/JBggB+AZEW5XFtBRj8+YAyN6pSWxX + zY3X3AS0Hmc81J4zv7CGdVvS0liSEFuuKVz3ORZQrM0xlpspxywB5A4Lu3aF/MbXFP2XrV+b7XGc + idkvxs+wLSCP4/av2oeEgXAQAMxr6asQjq9yzVW4kBylwYoAKL1XiLTh2u/1JlVPC+2udQCgHrC3 + XYypiTmhwHiaDHFrOEiI+H20Rf38mHdmEC9sVYhnyDpsCPoLmMt66qgbLX3s+Zk9TV3PtsGbpHcF + Oj8XPUxDDyvd1KPSNYTxIb9wjdRFPDTiC5x2AHI1lXaj1oKQFTr9ew/0q4cBoFUdZpbLsDQOA2h9 + K7fjUpfZ6UselwNYyInoFS6hjEPuGU9L4YhqTosasp4gR2RFCRBpYRs7yNphOtu20YzvLNKz5eDJ + /CgXYc9wqsKsotKAow8AaCpUdPQpPsnjy2exH61c3ZfLKqfLEQ6Lai+VhhOkuAYoo8ZoDijPlrmo + 6SQkFVv9gN3uukNW4GADnUfQjOpLH4IEIRe00ZoS7IeMtDGGqwmR7CicXdtt9puqgTjUECi1QwH4 + eAK5QBcSw67lEaWdohgTQXK60q6ytuD9kVRIzNCwiL0UZv1aPxwAJTio9gdlaCert4PgwzGCkNUL + kCHVkpdVjUB6BjUdVjxXn64f4ZHg0ef9zZZdtMOKT/2s5Qt6diYNjcnWBfttzCApo8MN6Adji2EY + FaEqgGjTsHvkE4smMdGwLsZiFUDBhvAHhYRAgGm/fWyqpVHXHIApAzPG39LhnQJHiZJwF+9Yl58d + GW6JefkFPxQOhAH3OWnFmRQZ5Hd06LqgWrEAuYuWv+jpVt58uZXP8Em7+R/z5/Ms+51tId/OJ3b5 + ELrxdzJPRoRBKZzsAdxd8HomAnjaUgRV0UJpMhYI66SyoDJBgZZx0OO2vu0QYX+tOS0ULQl/OIuY + ZmiNh0IBoAMwtAvknk+TKPxggnKQqLmyLwHgu9pOINrP8rEDQ0SQk2e4nHYzxC6FcMFFWfmNl+Tl + 25Asoz2y6ealITx3V1a8+xwUfCusbETf/Isy+Wo1CFGpXSa7BoAEI3g8zUcpJAB1F1iqXq8KAIRx + uJ5+bd/qg5WKDgBGwcm8G+bfzq6Sp4rShM4n0IUBZGMC4ATzSi4EAve0UcmEKmd3liESOwlwn1SO + zdwNuNStyGx7iuXTLbzjFUV+z3nBXsIQHVPQP+K4wuzXnmX5f+1IoTyXRxvJgRYYS4B1GtCtCLUk + EnNrIEj6avJN0krG5QUyAjqtouBs2trRJt7wg7o+xHa799UPd4fHuYFVvI6SUkaqrM/zQgN8hUvy + BH3dwNiYXjpheqJKSzOdmUS9Nfz8rEQtKJdXRQWH1RLXc0rfFGDCzsWQ/cdxDHrF0n0I6AgVnws2 + UZRaovc2JD+MsRkwnapOWr+JZzl2RW3VA2TTKBZ3u0lPmCe3lDcLHGoBAiAco/PhFN/3zYdtkjoA + FDGlHtN1kE0llgCm3R/UiZewY1I/bjKHtqN9O5sJNXiLjvtmKA+9A8+9+XNd6UJVXH/KWIpkwZDo + DCCqRSCZ0Gcc4o5ZiLvoXFOWsw3MvJ6SZC+2bMArrqNejKakTj5O0UbzywmId09NuZJJKjywgQcT + VIFAdYz5HkOyR9rw3Xub9l/u1L79Sc0B4EtMQws+vTHaxeuYosGQlMMrz2cmsNRU4vJkAGBe8yBB + lgcOADTN1ZKuncMWAsoy5wFGtAdbx6c9khDbDnRUPbENq8iKNKvE2cb1Wcz6OJJSaqZdLaZXwCHZ + 07KeaK6VhwJhx4xCn4TDylysUcWcf7DBu3xX2B6mXH2wju/46kzZzEObAyD1ui+2b+cLz2vqmF3M + np9S79DnEKaSmhRKSKjI6s6Re2tLZs0rXlxMupD/4B9dXUSyWt0SuyRM9FCuwOIFj0GWmfrcW2B4 + fQojEAdQxYcYvI+40UKxqUNVHgdAjsMRhjpN6/NLSD4vkZGxKA4emcXZ0VmsDrfhW9+v00e/r7Lx + w5oDoHtfj83qbitftcnCTdCmbyATu2G+EaIPG9CApMlFIEAABxoAIURitsm5Jb5FgS4YQKTopJjt + r+iau05sxxII8RmtFhmYSY97jht99AFUr8c4Ar0bys8yRYTBwTQM3p07xuksTZPC6MLGfFnJV9a9 + /Wxj3R/tj77YLWHU7SFNztLbAWZiAXNkYGlKmn2NlrvKu/UxyDzcIUGXXGcNPjt6ATGa2JMrESap + wqW0wiOECBUmHS7cRYW55gBQmGFeNJsqAhrHyNhGIr/nm8MiDOgwAKABFZcRy3J+Rzv4zp65S6eL + cRxl59l3q1fIeA5M2+bgLOX3IZl7GrvxxmhvvXvO58NPJzRAD/6djevNVtwmLVccpcoTSjKqKuMT + d3YJJSvmVsIPi6gEIpMWEDn4NAYOeMfd01xMkr4kyH9PilS25it3aYK8oUvVEzxuSKwCTQ6tByz8 + MQFMKqcXk1rLS5M6bZKueHbH75L4lGNCxjeGumPQ8cA0ZQeg+37qul9Jdt03RcBjtBMaoOfauDyW + 4j07s3y4riiuxNm0aELWp07OooNNRoIzKwycjVOryoh8gjZOvMzERJQWkTxb3E64NAbnVWX6eFLb + 7OR1HRNKYJwuOJe/VCHGIwzjkewzAGf6KrHQof0tv+bcZaLSTIBjfH2fsAzjx/iriwPUv/eQ+dwN + 0F9I9srbo938GLz77YcAoDsHOfDSn18qygsoLjxHHyBpxQUISa7byWViGWAHALEW56IdZ2hxx4XW + dmrOu1J3ymmsw6v5Z65e3RZoUhhXKbSGCOA+JbQssNzR8q57UQEidRSlHLpWrU/AynzkOFbRwGPU + vI8g9YOAsAd6fpTspltQfTn4U7WHmMBaR7a10ta2fgP1/injXZdP04zvhMqCbVZtnIh/0UcBEgev + VLP1nRe9L0l67Jf6Q6RA8UyRwOJ7CqhRC4EyhS6NVT93rXh8vYnj5Zakqh0ZkKEqA9g63EZ8eH0U + 4Isd/0CLsXhXRkXmKZ9i14L5Z7SdL5pO1R6hAerMtITFmLYF+zc0bmWUZS/tk8+g1v43ACqwEKLh + VWtuFr9QjBaIeH8X5XCn554atGTXc8fnapu04YDZqJ+WtiJC1wi2ixwK1jokPrcP3RcvdNIc5OwC + kD9GsVUOfailjzT1rZKwYJ/yI5Msv/1WoXia9qgA6B0tF5Q0KSySHH1oAfPFMV+mpJ80lK++UQJS + hBaHoFAIfXKK+vhH6k8E4dtNPxS2UFnw0qaTDlVe8AWZ+sE4/fD+eDxsW76jS3Y6YBjY2e7YYBIt + zBB+9y2gmNbBU+HlEQkHPCvLGw9kxc3fmJzOABBAN/Kj/7yDyXci3aUs3bWUF38zaOJv8S0LGRr9 + IcI51tpZkilY9/F5t5CQbUpbJVbnUFVt7imMumdHP71SS/jQ1+MqP/H3AF2CNVcjX+fKHhhPOYfS + cjV8rB/ccqaJLDyX+kAS3xLghPkLmuzjR+b9/aVT/DglAHrvFo4LsfPDs3SfqhkVqyR5Y5Ez4UuJ + KZ9E9Koe5SkiuT4bTzNpCKERBwhVOvAa6AhA8V9aImzYjpO3Byjd4JqDabT35SmjGOxYhmH6aI2t + 0jitMxnGVIkrzxSbcjTWN0HzI218/wN1s/8ry2f2BySnBYC/zbE7YOacaKsbmL9H9STjK+lIDDo+ + W2WriYrwiITBHQT8sQHfoAmyUy1k9PdCSvZQcK85kF4qB+B3TESC45kAUf4gB+rMEgV9henxj6eC + DCnrC0M5GqXI8j8F6VPiQ/1JVrGWyOyBZF/exzj/efSQ8Y2CwDptOy0AGuFmXOwlyIM/S2n5bhjy + mrZKZV4Rs0Y8q/izLtIQUlj+oAG7TxTrlUBoSYG7dscn1RfDit3KLJXBcXLmxSDkdlovE+C+QmPd + zPjqUw84uOclei8M6LNcnB1AH2+abJ81d/8o6/3pXbV94LPHV+yOyYMlr9MhcEYAHGWUb0AFy9iD + eOqt69lg1EpRyk1sslXW2LPxjA8qOYY9tAEG4E57ccoSVVXXthUrtppcoiG9bhA8Z2t1ZhhFPh24 + UE8JlF3PuF4tKpsw3JR+7JSbPkhnjRMPsYzeN+n37hmH6ts/Wm3v+vLycbuBr78Ooa1n0xjzzJq+ + IXxRWV13Ttm7dn1VHR32e/chtz0sdA9ksT7Gsdwvw3KvytHGnKy0mGRlb8rftPB9JI6BVIlP/bok + Bumy3phrAWrP2DDvYtZv4kHbdO7W58+0ezWeTI3tbUfhMMA+QBKwhxh4N5nQYcH3k/YTBM4agf8F + FgGLFs3rU5cAAAAASUVORK5CYII= + + + + + -29.624865 -29.644538 6.000000 + 29.703573 -29.644557 6.000000 + 29.703596 29.683904 6.000000 + 29.703596 29.683904 6.000000 + -29.624857 29.683904 6.000000 + -29.624865 -29.644538 6.000000 + + + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + + + 0.039362 0.019681 6.000000 + + + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 + + + iVBORw0KGgoAAAANSUhEUgAAAEAAAABACAYAAACqaXHeAAAACXBIWXMAAAsTAAALEwEAmpwYAAAg + AElEQVR4Ae2beaxlx1Xuq3bt6Qx36ravnRhiJQZHESGBiMERIGcgApxAgkBIiABh/McMATGDBAEh + XtDjCRHekD9CQkDMEsEoRMEKk5AIIcwxhBAy2N3ubt/5jHuqKn5f3b6d7nhIDH5/wbZ333vO2Wfv + qm+t9a1vraprzH/xwz4V89dN4tUbOWNN4JVeT7LMbOe5qflZcebOmtxmJuMLlgvS9/jpYzBdCKYZ + etN4b2LmjLfWLIbBrELkfv//jqcEgOuHN2Xgd+W1eV6Wmx0Tn1va+LVM/M7CZbsuy6ZMflzkrs65 + Lvpghr4ffPANIKyz3C05D7IQ9wHogrPZAz7aP7zSDcsPdL15px/M5eivf9x/+venBIBkSYZyG5N6 + TVFl25l5cR7Dy+vM3lvYWHKagpnrzK/9zPAAPAXLy8YhymtOPYeJG/6LvIV32IfnfXjz0tvFSbCv + /zNAu29ok/c8FZ7xlADgmPzn49Evs+bbJs78WGnNbSPmNyIeqswYXpuCuRX6nfeJhJjzJbAwjmvO + AAQLgEjvRyLG8Np2GHztrTn29mdOBvsjx3381b/z4Vt/O5qm+0/b35j8ydzjbKD6jn6fcr6cX55j + zas2cvOzY2c+nUmb0plhWpi8LqypXcZpTYV1q8zaQl82wUaRAAhkfCYEmC9EAkqc+oi54xzRNn00 + S0DIvf1m28XADb7u+Y2549bOvOI91hz8I9ce67v/wUPz+A8dz2Zyr67iua3BvAlrf8WkMJFJuzI3 + flpmrgaFGpOXuY0jzD1yzlY8zQEEMW96YjkCgNxB1nagkEBQGPgQBx+j9zFr+wAZGnPSxzgbrD1u + Q1yuol0uzSVw+fXBZvf5rPrTBwmbN7crM+P7T+Z40gBokJ+PmV+95W7bjP7Px8HfzsTDRpXbKs/i + pMhcngUmFJiYx8jRlAKiyA3OkKyth8rqln/Syec2J3tY7M9boR9iwPfjEK3voul8ZtZDZla9Ncs2 + xuV6CN06ZqvehBYKcaa4v4v5t1828cLPta15WHH0SR5PCgBd/NLSmFdu5mZ3nH/H+Ty+YZr5uDUq + 7HRUmDpXqlN4DMb7FhfurWcsju9kEAEjlQuYwuVwAYBwpQANeEnk88FFw5xi7EiCrU4CoSUyACD4 + 3PSdjQR+6NqQtV2wyw7vIH9G3KDr7eE8L75pP8vv+9GjpTn6JDF4QgDS4K5D8h4C+MWVue22jfxN + T9sov2SzCMNORazjESUAFOM8FoDB3IzFCyJegD2NTeznTMjge26aw3yOXK/7K+6H4COnGQiLAMuL + +XImmDXe2LU3YGk8jOcHQOpt7AdwgRu4xLS4zcA/rRJpUcZZVr7uL+fNT/7UXms+mYT5hCSoNCOE + BOaXMtoXF+Zzz5fmvnOlufVcbc1O7fJpjXVHXDWGvDZw4808iP0KQgJTM1vNWCfXAIDIT3GfAp8f + Ir2wZrBNa2LLzDR5XL/AulkxkC56PKczg4UI+J4jl/ohcg0p1RVmhGt1bWe6prMAa7cy97pZXtz5 + 1X3/jb95DKqf4JBTPupgXDccL+LVSzLzEib/jpsn5vz5jcLvbpfZ1oYz06kz1RjXBwROm5HvGJfF + wGnCmrS8ADuS0wcFR/IE72LE9ZkgYQBA8gj9R2BYhYZIUVniFCx+F2gCkfsmELlvjmdVgOzyEIsq + 2lFtQl3y5WieN4n2c/bb4bcuDE8sJB8TgLPZy0Xv4nyFNS/eKcx9u1OzcRP5bnerynY2CzOZMPEx + E6gYFxO3FuIiIGPAcoDvkbIef+06LNS1xDCW7DpIns+V8vhPo3URAGJu8sDksRnRo8gAuKsTZ/JW + XpQ8Rx8otBBXhFLhbMxLwKhJszVOAzDcL5SxvLOM/gWHbfiNK0/gB08IwGfwwNdM3N23TLK33zy1 + k+2NvL95s3KbJPwRxCa2z5TOQm8GdHw3MNEeGpO6g/08bjx0uC7xSkIwdogMDU/hrQw3dnLzlu83 + yfVtGFCE0v46BRA/depIDoFH4OVEDzqRyedlzuSLaLE6+sCefgZowfk6KzPy0Z27VV7urrp3PSi+ + SHe68R+ufuzj6Xzy/dvFC28fl/dDdJNJGeLWJLdTJl9j7SLzwTnSnfPWZ7g2M+tBfwDSnJRXFLgF + OY1kj3dg3UIj5DUmJ2UTIyTAFB6Ml7dV/CRZSIZIEwcM34Hi4OX1hAXPkscAroASDwBlsNaRLQeL + V2UWsCyqMTaF6daF2e+dudJl67/9h4d2MzdevGPZmrf3N7rDozyAYZgx570b9tM+tbbv2q3t5k6N + rMWfxmXM8hz3w5wxj9ZCdnZUWQLPhgolxGk5cybvKIioeUyRkyZkHnKgPKIneXusHhpvA5YP/G44 + M3kDBJglIsQD8BZNFEGUwiETYIwLJwA8PIDYz6vSZigtkCAgmDzvyw0YWeIZUxhf5bHs5ouxW/Xv + vI1rHsSh9k6dKln+hiygB+j4hnF2/hlj987ztb1pq/AGo0eyW54rpck9SWBRIr7OTbYBXKTHTIGr + +OMmGVbPAvGpuOYMoD5QzfXrYNo1+Qy5I4GkYUscUSkin5UWNQk+xlvkNT1jgS3kRHwm1+ENJpdx + fZpsKigyfuWGMA9Ro4tgIp5H1kB+O+Sz+dRz2XdfOA7nGds3v6o2wwNLzfL0uAEAvfWyKsufPXK/ + v1Nmzyrd0FYuVqhaq0EWlHWON9yYXD8B/SnBJ3QAgKzNs4l9xS0pitQO8THpFk7A0gOpql01ANAk + AmQmmi7AWeQybI5noSR5Bk5JahVJSk+Ai14gn08tHLCynDhZnN8URpaU7PCGAaD7LqkJYIIYuax0 + hb3p/IbtFvOvXy/j5NMa81X69tlxAwDn+do90+qnb63NCzfy4LcKxpTiXG5nfF6WpLzSFBulydC/ + ZpzbocIjlJ7SIzVxbi0vIVaHNVlgjsuvOZFw/bpDtBDvOAv4pImAAHwSEq+Mq9yMx0qESn9YNo+R + koNrox3gArmXmiWBBoqaKAQJngF4hKDUpQFkb3kWV+Bc8Ab8Q46cbE2zHSZl91av3PHru5BT7z6D + 4AYAXl1nZsf6dgdrn6OgwchYhOquItdXFDO4gro6MJPtAzFMXMMK8AE+iql4GC7Pe3gCej79ZEQm + kgVSJpB4ARyShdQcKg4X5yNlkgpe6UfYtcvMyNJFooTk8XYgL3oySQ83dNw3416WcaYTdCShAzU2 + pgYwwEOESG0GjQF2zRiPBdR8qzT5CTcP8e6JNe9eXEXgGgDnMNyziO5JDD8+ssUwzfPX1bgj6hIA + cgDIrYQHpMtcBttCUJgeJ4YXILmraQmmhnkYrCq+qMnrZOJIWfyY7ytEUnjEVOAg+5MrNDzfEy6k + E3QFnrYhbQCuSqmg1BFGIvCIR+KYpuaalA7lfRhGzQaLARwhoXDxXulYqhHsEQcDtfiAa/W9v2OD + Zy04dVwD4G7cburst9PQ+EWyXYHBYw3JlKUV+ynWbEhEJwIUC8vqYj9qALE9pwYPa5nSjABtbE6G + IzM7msVu3pyyPSGLBjJL5rmAC1fEgpyDuyBpeW8JNj3dHibkqC3URzQ1lmyt6ZYAppoANTmiMHKE + h4qvAiKukMM51qcqAiHCr2DyeAe5kdek59DFNWV00zS2XZtbUO3XjgQAGJpnSHZKasvoPJd741UZ + Y4HTbXIo2VpcACMyadJd4KGimjyS9gJ5H8Zt5505vHJsTi4vTHeEOJrhunQ0cHsrflAoQw2pydEw + EH6FSsASIOkP2gaOtGVD3s3NlFDI+wwyZeLLzLTLgTYQjQE8rywCYgwChYQdupo7iIaVLUhQWTIa + fgGiEDAet26H2DathZc3R9emf50H7DA5gL/F0ZEkrVDMwevqWAhEUNV/0uwZE3eI7qyuzICHKJY7 + mhZdvzINll4frc3iYG2WB61pjr1p8bUea3OblM4keuTuFHpUc6fv9yDfMnxpBfjAHq8g0L05XeLC + TInd45MVE96Mw4I0uqJYArauIsPghVxiUCOng0SQSYKDkFpquK4AgDfgrRyvFUfB5ZubSi10n3Vc + 8wA8fYSu/k5MqV6MMmuW1BbXplSD9IVqmThWIf5wAY0+otYQN53t5i2TXnAuTTOD4Jh4x0mTBsoU + mQkAOAjS7MjRazW8RB/cdoAHWn5LepZruqanDwjXBIgD8E/2g9ndLVF4THpJNoEY+wmcQIupVx0A + 5VODGo9XpKqR70grSDSp2EIyWxQzYVOE3LWjjRofWJ6yQAKgBv2xc1+UW38OB2Bo3so6mfI5A1Ko + 09IGLgbJ2RPIw2pl4DoyD+5JzT4Q2B7ryLz4h6lxYVFCR/ZZMcElKmbJPbkUMpNzooWIaFV+FPL0 + BAgnrqFaIFaUyrgP4UDujtubU2KXCRM/HosGrR3AiLaH1Rhot1hHlRwGPaCskEPYroAkuR93U+aC + IyWl5WUGxQAyV48EwAi0Rnn+bPgbyFSzKEXxAHVikKQlgkqC5TQiIC6ISs3K3mdWXZqIwhMIBleX + JUoeXhAiEkli+SPIq8HnG/TAAV+MyPaciRJMJCtui5k6cpe0gTI7PgaDD2oMRcI23nLzrrl46Yr0 + PgSMy0ibKEyxNO6TZLXAgaVTFoK5T6U4HEISwW7caGgoxJJTlIj2s/mfhgCaTr/cJlcCixSrnrhh + nHyDNzIKTMdtqPiGFWzGBEtYv65G5ODczNeLuF6tbY8XBMDoqbsi5V5Z1eiZ3LSw8yHl8GUS/xUs + R3pKzC/2F40CIb7LA5MECoF8YrYx1wZx4ztnjg5mdr1eoxkC1iXLoANQpafFkYoiBq/oTgIAr6Ua + xL8IU3px1AoAf2CO9g5thxIlil0tcXP1SB5AipTIOg8HYD1uxpnSnJK+c5H8ygVYSGUvrEeFmc6y + mmCRkuWrNW5IKaz+NSEw0M5FkSuUDDLNzmhUXl4Nw4ON+aOHvfkATjxHQVAlUFWKw4w/Dys9A7if + PzLZzdsMLkNnbWGBobP2ypUD9BRxzZxGlB41nlUjzgpIWbCp+4LiPRVAKVMRYlKGakZi7OV8BQBz + kzO2si6gBMC6HgCt3ZWlm47JvRvjwdTkwYxiJDUu+RlRaSvcr96cmOn2mJiFqNaEydEq9iTv2d7C + Lkl3A++pc5UWP0gleHxcd03cX/nsUmte/4A3P/aPPFhZAP5MQ8CjkzdUvLODP91us9/ro70bIEZL + wmyO98hlxbkjzvE4i5v04CfUISN6kTlEOFCwefxb4SE4szHVJyBJc198+CGyyAEDY1x0rchgTrL8 + 7EgeIEFRjfLxBHQ3BQCwiqJYwxMQdsGsWgCYbExN/bRd0x2vzfzw2KwfWZj1XmPmMP8aUkV8pSDL + Uk8st+TscNQM8TI6aG8wz/lLnvqxR58NAWK8+useH7c2fNmYfLAb3b0IpTfMITwyoSpSMwGATZTa + 1rSwEyZZQLRadhrUM8RIFuQd7znqlIiyGrDAxSuXDO1GM54AIMHVxxI9Mb/28ARAgQdws0lZBqwX + YwXBpNRKGhLr4gREAnFPaMfDxqyunJjjCwe2OextP4PcKC+hB2zISQnS4CHKDihbi3bhtXHgcxeX + fMKDjEdxVDD+qoG50FYtIc0YiJUx1t5kwXFEAUZGw+KQL8YayPdSfvUGvLSFhzL5VqD0SzPZZAWL + SZvRxAwLa/bnnnt+bCRXAcA1ckuPV4xJ2Ku0Jn6JYCSHtyXCp8yrmJPmQntiFhcPzezhdcTlyYlM + mgvl0CmLCQCISdUb5YJtICRZHf5+GsP8hACobUVtZ0ZF/VXOtxBfFyELhYCtWWhUGNAJw0NV85NS + GQLKOCnHAo3nzjFR5isN0fm1OQ+jFCKO+dgcxqVpT05oEXxcFsDbGWygyYVyYhb8IM6ZBINRbKnQ + KSCzbhjigrZSC6PjELZEClPAmgUiyM8YLK290yZoSNUeLxmk7k4u5l7KJ0DwhCgI9GXw42XfvqQC + uor2hjITyQheU9eZ28HNgffVAVHaRPfSnKUg46SupkGKrkFbVFMssFJxppUlPJJmTEMmIJFdOzQu + DuX0IZNmlniRplb+RK9QBUj8yAT02drWzlAmnoGMt6nIik2sRcS6OZ/BeEhitb1kFpIFXTruw/hU + 36P37e2D+ZQPG/Pg6TMf/99lHL6A2C+2mKSyEqlLbQClO1KH1CQjJiXKUAKXtQJyG2bHNfS5LSv1 + SYkZLkTfrwckumT6rDHNIiTJcvb0UwC4FlmRyW0Jc4JAD+AXkaEmLxNwqAnBfe14OkJObcANxFtP + NQbLlMeMkmC3oCsT66t4kJIhYWX9DoLis3P33K3BP7jP50ec13WmeHV66NlUsXfzk84YQKOAx7g/ + 2kbxiUeSDjVCzlOJQgsQspZMVz+ShQIuZELqNdJ/7EnPLfqkX5GlGB9YIDqZD8bScdUD9GsWIe24 + RpirYCGUISNcH8tLAYoRiA5aTznEwgLBJvs/6IU13DifaWkMv1lzqQDg3vIeyVNpeSLQ7SqMovms + jSz8AcLwF+DIO/os/+XL1r7t/aHv5lx3djDBL5C717jyhlIfjZIK8tMSW2qJaXwaC4bJmXwykKS6 + To7Ug1wQksfEPOdq1seWceKdKsc7L21/tUl+CgAP50v0CrxlcCrx5fE8UMUPaoyYa2FOn3Q2pS8t + MTvN1H8zLfVrKMnVrBBlXWG1zkfHVqbis94UqL8JgIyQxOOQfcu5kP8KGBGN9h687p5nuez4jsy+ + 5YEw/Nw/e3+BR7vaD59XJesXZhNmH1PAF9QvOeJHY1K+V6NUjdHUFJErqHharFk57Xg2GYL0MyxW + tOEa0zfD+7su3Ef59YMI02alEvbqkQAQ4dFyanqYVQAQNpzEFr9kPNTTsOxFX7Cw+gB2Qse2JP3R + 5llnK9ajaGmxYoRQ5NmZdchXLRCwih0BIJY+qDK1W8E9C0p7ELZADFEMkm35mHUm+1qaH6+9Pfh/ + oUfgtsGqxsXHPG9K8q9HhDYpWq1wK2FG+lOHgq/Tt8AzZDRcepihSBmECows9RAatAnu3/f/t+/D + r2Lm73KlXa5UtF0PgFwWD+9QsKlHxw/5F5CDLCZJXV+s71BfTmoEJm5h6L7kYRNaZqp1yT2DCiQs + rmySI2BYLbB4ryhVdT5+puqMW2M8AguKo16goiZO2Fng2VVmno39zA4X7BDzNJ/xRMp6kVw6YXha + VCz80OeR+iNjYe0MT2N5hBurXcZr5kNvlP4imaKngTcMf0XH+IgIfyPdrOevedbZkTwgLUVh0IRD + GjAf415ytaBmCwNRKOQAkBOUKlZVEcoKKpPTpiYu7rTgAfE4Ju8Yj1aQuBwuIB1S3QEXlCh70UpF + bDEn9hBBSTxYj2GtFW+zYQKZb6uOUcsbEAkVnsX30n4avICODwkRhQKEPEuLKQXEVXBD6XzWbFIj + BMcjnTMHH+5mK9q7yYT/g5ffe/0SWQKAipcx2aVUHB20VJZSzqRWkvpqOQopx/W15MU2tlPngJbV + 4xLhQM6Azz2QnqHhllhC+2GqjZHZpBF7gFQ+PFJPF8tQaalOV/OSYJUXay6pHuggtZDacKhRlYhM + brlap2ZoQduI1ihASoDwTWJKHWMZqIAsT8cGJyhsEV8Ka+1R4A1cyP6MK8Pb/ap733rtf/BMessL + EgBqTCKAZkE9d95EVafJOyafToApqQBBHoAIUh6ihqkaI2pZA3wqTU1bAgjyh3h1iI8yGzOLKjax + s/szGgfgAmtrvikkGKosLBC4B70F5oi16T5oLxTNYKTkgo0P5RAyoo1J4XUeAJkwCgny5T02Ihas + 1DIm0gbeQfmbutXyAsAhIBkcpbwbnkOn+H3QRGrEavI6rgJA7EZ70BP8NGBBHIULuBX5jz57qum1 + fg8e1AakPepSui48Xb4MYcL6BQ/LGHDQkrjDyUg7rEkAjDQ6ZTZFvra70GtMzO3UpuEQoMBAGEkt + SonyHQzCjlI1ZIl51iTAvgZU1vn4jHCUlfhOSapyVIbVhAbMWKvEtL3xLIUvRVyU8dUrWHYzszdb + LluaNif66nVHAqBRFvDhEs0X0GecsHcFGGpP0bthcExDiGqCWs3Q6g0EJHmW9IJQ5/8CIVXQARVB + qoSOhQKJLEB3Y0rXteHpdKhPBQzXi4oYLl7AbQAGLPAB/UzLGYRegairbI3GYGEWLwRUyEXukWGA + mnXJcntkCvYqqK/eZzA+BmBRVd0fPJT9esjR+XKwe8fDRdeY/43uuPf0qacoJABmxBoR+lGclIfQ + g2fcDQza4AYVbgEYlpIbNmNw1LzKClJkkppOPXT5MEfkdUY6zHHTEjOWdN8qWjjbw1Zqec0fRocf + whOUjmq0iggxJH4qsMUJ3IPbwi0UgqQ5ukQeDkndZ4iYcEnPzimJM9bsi92JKc6rzkUjY4tCxEwa + LAp2bQxF3DveMxc/csWe0KVmlD+KaH3pP7UGAD52XANgHYb3cAGbrWxJC51cHmzFLzUA1Ey85D2I + AeswAdIIa/J4AXti2JsiUZLiDXJSI8JRnqmELtDnaottFQRsOYKojvGoE9OtENxMGg/XyaImWZRT + 6Vg48BEvIDntKOE+PfnfqQAgS8jSjgaBvYmWyS2oo/PUIlqv09jW6JQG0r18bPYeOrGPfOjIHD5E + 0xSFyjL9Kymyvuff4K0E9FUMEgDK0Ecu298O/n/htT+MJ6U8OsbqEjYsEaT9euxKYDToODhC9BKI + T7V/IpsHxIQBRROYK4EI4phkzMDo2rBzzEwoqVlpQeY5sziam/VyRXYldUEiCgvph57GqX4qpSs2 + 0gKrlrigTVzJFFqtPVfEgha5u7k2/hyr1BsYnxnFOf3Gi5fMxX++YI6vRLNi+6iaEFPAW/vybW2X + f8NJaBfaGyB8z44EgDjlH4jIp5O22aElzR9cD6cBKt6YtqcFpQryvDbqpUY/4eJRiaQDsZESO1+y + kRoAuCEfbsKCkQkYSQVVtYGCVMdGYfIIEzqG+Hgwi1oIFjBFAjYULlYannVAgaDIYoU4pVSH2xeb + VSzPj2J+DnbbIr7x9J5dZI7lnkg12qlPTzk7Qn+UyHUYBHTUHs++cj74tzwwrL9ac73+SADojb9G + 1n6hqx5C6ccSPU/GSa1uTz8+EgJa4BxaHzsWJnIsVqAByLlYmFxUoTi4kzYIagVCzQBli8BADJuX + 6BAn+eo2PW0pCHSyYTbWdAGxuNSa2u+6vxZAW4r1ltK1Z+uciExtuRJecVOeT81BQWulMvMBEGB1 + bYlRU4aNlbTy2KJPodbg0Z28hjw50E1ZN8NPHFnv33VdDXAGwjUAHoF9/z4M/+9FRflDNq53aMbE + gS6vVnx6BI0faT2ANNnRhoAcmRv5DTIbgXrFRCA96QRHvPe0o1LNnrwBABghLWIBZYptND6NVRc3 + 6CKzvoDFYX2sjQYh7lVgNSyFtXRywU2lNCjgVfKmkrVDFGWpxQaWz7SzLMMB1eHKaMdPSYv1znZo + aSkvcUElrBPtLbbDvz7k21875DV3uyEEGOLHjisxti/Mi8tlGL6cthtJGLnPPhdtenTs+mcWWoyw + NZzAnFFwWA4LpZ6BOhe8ThKaiehBNOBJVwJFDVa9IaAG3uMyuIJ9RsQAv49ZFdygYYCVHZqhwL0r + ioHxeXp859DSvB8pKjIIkHxvR3jdqCQLiGkwitWmYS2ZAWgPwaoH0JP6BO583tpHlt2Hfveovf8C + 4f3xxw0AsIwnYvr7O2z2zDyE5xOyFFs8WLWAKjFyv/bmMXnyvSwLWWAhAaAV49O2DdbEBUVMaYss + FpJGl6DR5GmjJm/Rtll5TVI/WgkhVOyIRxDXJSxf79B0OUfm4HePNTy6gu05aV/ACFU1QpkWOILM + rPqjZ7Ltck13es12mN52s94cHTbdbNa4y0v/F2+dxftxgEcdNwCgTz9IbH+6zd5BRfYiVObt2FXW + ZBcbilVFiMBAEip3pXSYNvfyHlysIkv5OxdAyrz8r1BIuQ5E1GjhQkIFMDAql4lPtcwgX1f1g67A + rfEc+veAgauQSlvETcPiigQSe7X4iSIgDPlrG/YTSI4jW7toySChR835dQYIlvAy7nAWv+XdS/Oz + 7yVMHut4FABykr+mDPmsvPydiXVfkvt4K+MmbePXELlh50Ta5SDF5VOpqXKNQTFZkSW04HA1NpET + MCCXFCOZRLHM16UqhQxtEzZ+kOs1KnmR+ANlqaU0rUQp9aGlAY0uM8TY4N4OsnSBP8Zh8tofzHrg + 6d8V8LxAHd13WWzXLnTLzM1n/JXJ3PzJwSp77RtYtLm+ANIjz45HAaAPZJm/Cb77TJf/FqtDL0KX + P0MRjrL0hHzG/ilIUDqfdhwn+tzSAFSLHL2QVmtZHl+lPXz1xoRGJpupmKa8hCmCBE0vvqLw0dIt + 22DFJziG/qIIzdGyyL1orOeM80a7xm2N7VmQAzrSGmUxVWn6nphIvb6G9XQEj2ONwu0z4YdPhvjR + hX/Nzx90H72E7R7veEwAdLFq5vf60NyZl7/OCvcL0OjP1LDZQEHHjBjH5nit7MmImQkuSCueFjQV + HNDPZ3N2b4zM5Nw2xRHtMYUNbK9VYeq/BDJregyfyeinYgMM036gk7Vp2WvQU0aHwxVrejie0sBA + VccfTaTSSfdJWQPJvhjs/GgIq3nIDo79N1487t764ZPujW95pP/Tf5V+eYLjWhp8rGtmuOjrV6vV + txX5y58X7etD474/XzJ1GotuhEEYM0MSGBo7gY8rsmKjHSMrLiqPOU8IFa39i0QJ9rTEjqbHI6h8 + mTaZQ1xChOmFFkWZaCkWN5c+sG/2PjpjSSw329s7FEKEB19So0qFO6vVkXYX+oFEsIyOFuBwsAib + Hzlp3vpWdkh/6HHi/vq5Pq4HnF2kcHgvOZz/778py99TufKlyJItsiFih7zds5LPgwLBrr/sGDhX + xOcKsRIq9teSR6mlcA9Ogh7hw2YGz8DhZL6nNrp2lQ3UHYkU2D7QzxGcxzGuDnz8cXEAAAHVSURB + VA2njyeXW3PlIyt7cmlhmn22zjzCLpTLy99dXlreM3+4tav97q6DQ/8/9478F184Gf7izfuD+XAi + l7NZPP7PTwjA2Vc/iLu9r/cffGZmfgn+puwJL6DjSETQEMF2ciWJFi2t8ecyKDDCpKSxgdEqO9gx + Z+1b9EPDa2Ia4pigs8cDn7EvCKbgpIeIY0wIiU1Ov1zY7vjY5i3vYYndojZPq86ZcQMRnQzPyec0 + 5ebmh7D89+2tzB9/aG3e9UbW/i48Vr47m8jH/ZTjftKHLhZir0KYfPFW9ik3O/9dbDp8GX9L8FzS + PFuHkQ2E84ICZsnFlg155Q69fXZbT7fYNE3NBINSJJFO1MWBvVV7nHV7FUZwa5vZYmV9vvAsPDcn + /ezKBw+O9//t5Kg/NHt2aS5WNv8niqjPoIT87uPWP/2yNfd+wNjf+T9DZH/akzueFAC6tb4gWrkV + JF5xU22eSVOCljZthOy2UVXvlHU9ZRtFwTqCNhjEvM5DMcpCVedDNc77elL2xda4Lyajlh5Om/X8 + sUzbNS0bBKu6bkbjCRkQXsDiIkTtEG2O2TS135iTK/N0DtQJrKX8AJT5Oexb+Jr3s0fhbaz+aN/B + fx//jcCTQ+DfAXNG3CdEdbX+AAAAAElFTkSuQmCC + + + + + -29.624865 -29.644538 5.000000 + 29.703573 -29.644557 5.000000 + 29.703596 29.683904 5.000000 + 29.703596 29.683904 5.000000 + -29.624857 29.683904 5.000000 + -29.624865 -29.644538 5.000000 + + + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + + + 0.039362 0.019681 5.000000 + + + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 + + + iVBORw0KGgoAAAANSUhEUgAAAEAAAABACAYAAACqaXHeAAAACXBIWXMAAAsTAAALEwEAmpwYAAAg + AElEQVR4Ae2ba8yt6VnXr/s5r/O73v3uw+zOMFOptYdBTawhlIiAoImNoRoSg7EKSgj0izHRmOgX + jSExogS+ECJ8k6iFz9SUNoi1AjHSA1U7MIO0nT179uE9rHcdn/Uc7tvf/17v3jPTzrTDtN/kmXne + tdZzvK/T//pf131vs//PN/fNll8PDK/zUB1PnLOMPTV2DniO9SFYx67Pr7zvjZ71Oo9/y4f0jm/a + lvKka1lmTxWFPV2UyUmWPTV1yXuGIXxb0XdPu2BPOAvXEt6apwkKsZA5Oy/T/EFmyd1113/6ous/ + e57YnfvW20ttY39Q7+1e00VlfdMG+qoHfcMK0AOeLDJ736iwP1vlb5+m7q9Vzv1VBPsuFDJwWNYF + bO2x72EPGZJnScLxEFAKikAZCf6RcBfnXOYu+rT/773rf2vVt5+8bPzv/P7W7z6/7e13685WPEfv + /UqPeZVcb/rrN6SAEVb8oZsze2/pPpj1zYdL57+vdIHxB1zdG6ctCT6kDtkYLYcQ2g4hwBBdH8z3 + 3jrvQ+MS77LMpUWe5Bkekuj+ntDo/baxftG4/7Xti/+97PPf/Hzt/9OvrjYX533/DXvGW1bAjTy3 + H7t58p6nMvfvCtd9R5n0rsrNisKFIguGU1iZW5LhBim2crI+wlrXm+v4bBFu31rXSAEWeizfmTSW + uhThM9ylzJOQ84CGk6vO+VWb+G2f5ss+bc87+4Xf2DT/+OOb9ZanveXtLSngHdXAfuTk5o/MQ//z + w9Blo8Ilg0Fi2qshe2mhqpyVJQpBKRnejqlRQG+hJZ73nfV1Y+1mb82uCd5zOiBo513TerwGD2Bk + AzRYFqV5l9reJ7bm3LLpbdU6W/fONiH98hcb/9d//mL56RWh9lY24dYfaXt2MLQfnp/8y5H3P1V4 + n6a4vMO8acJn0plLe0tzhlx4ywfB8rFz+SixfJJaOc2sOCrins9Ly47KkB1Vlg9zSwEG1+EDDfcg + SyFniJFOxki4F5cqgIhSMGF9V+EzA+tnMxf+/jO5Gz3s/W9vAzq8kubNWvbNXhcf+20I//em858Z + BP8PfNf5PAO2MFWe9bh7j/t7Kytvw0liw2kaRgg8muWuHOUog73MUA4BQSqUweQU7a4L3fnOtQ/W + tr+/svZsb6CBpWluDWHSETpFUeJRFcp1MYo2dRM2AEPbBrfrnbvTe3+fxLq04ldXSfmz4MQnvkx4 + fXJxRi752p7xpj3gPQj/t2dHPzfy7Y9XoXWD1CcDTDUo2EtvVYUCqi4UQ76Pcd+R4xOLERL5MCVG + sCe76bME9UuhPUDIM/Jh5opZZdX1CfvIdmlj59t95Ac5eGK+c31XkyuCK/CUEr8rM6A1gLAer2FL + ySJZcO+sgvs7N9L8Q+8sRsv3Diaf/YP9zjbS9Btsb0oB78gL+5vH858ZhfbHh75JB7xphODjymyC + m49HwUYTZJugDHxyME3lAW4wxm1HqaUowCqER/BQ4HR4TYLwRsgkqXe5rrs2tPLW2IpbEyvmKGOK + Z1Ud9gMsGT/YacQInpHiEWBDWRAgIel7nkEQZvxyurBpdfExyeODs7x49s+Nrv3ac/V6v3oDJXzd + EHg6zeyHptN/dVwk/2Toun6S+nSKMFMsOR44G7KXWJpYt4w9HSeWjaA1gzQgONbOQlJhLQLYkd8c + iHiVHgFE8hs7dpQ2cOIMwCzAA9DTp9aeXtrl/YX5tTe/6m1x99I2D2vePbDZaGpN3dp6uQ3Nzrtt + 7cO6c+6yc3bhXViFPORuiGoGv7Po7Xv/9eLOavk6SviaHvAErO5Hj+f/6Di1f1GAL6Pcp6OBc1ME + nRHnsyn7LLXpcWaTa6VNr1c2ORnY4FqFRQcuBeDctLJkUjo3LCwbFC4FB7IytUSegHtjQ+taskG9 + CQnuLP7g0oBWgyWEw/BbTmz8ths2uja3y+3G7p9uCJueEBvgPagN8+ek14zMkuMFOQSrQ+81oJz2 + 3hVtuD3Oy29/x2D2H39zu4iqfnU0vKECjnG1H70+/9ATWfi5WdrZvPDpURHctPBhnJPzs9byvLWs + APUJg2TEYED7ZMzbcXvD+gmxrdhnZAhljrFpwEJ8SwSGfModHJJgfZIJTo0XJ0Xukqqwnsd0MKdU + 10S3UVjwLpTW1OsYRkMUS+401/b4jzhE7hoe26TCDtIUr85yGGo5SE/b5tdf7JpXyw9HeZ1txCA/ + fPvk+58q7VeOsi6ZE+/HGJOsFabslQTPO1IdpAbUDxWYTiggODHBIyW0QI5QSUqOkSo91hUZitSY + LIAU7FwLGMjtc1cQEkT5Hv8lHDznG8Jj3+wjW9Tlo+nQZicz0H9jy8XGShQ/ORpZIH16KHICV1AY + tYy/SWRsr3yjlNInWfb+kyz/ld/erE4fpUqJ/lUKwFntx64fPfsnCveJedZnJwOXTEbmj6ZJMp4m + bjhL3OA4dxUuX57g6seA1/HAFbh7NiF+sQgo6RIlcrEZWE0fGCCDbJsG4lNbCwnyOwBuy6B30OYa + HbV4TAtIYjOlvr2EYoDAO0AYodDAkogvkFAIFzvvDii7KCpbXawNeCKvkip5LbyKCMN7qEOoNQKm + yPIk/VOtS/798/v6sdmFxY83aePD16+/7Vuz/mNTvx/NcdH5MA2TaZ5WgFsO2qdDAR45fYzrDbiD + QaXENLtLctSdR8FVEGB3bIBPQ/XYexSwt3bbGvaAB0B+DDKUAHjEB6KSFIhiIT50pva1Fbwj5zxJ + 0Frbw46IrOHAhs/MbXh9DJPc2fnDSzIQFnrpFHrEY1AqqGMz3l0SBnXuYZFtugu1XcvH3/8D1669 + 66OXF889EvqxAjSED82vT59Mko9XvrmdWxsKKN14WCQT2Fs1Z8A8NQH8MhSQUv2ZFFAQ3AitQo5E + jdAhYH2Ve9G/UINlgFRCykON1ucId7m15cXKXjq7Y5vz2nYrFMXgrx+P7Oa1G6Q7WQ9saXjmHg+G + S7gh1QHRtIN0VeBHihukAzOGYuW6tONvvWUPFy9ZBWPMyZljagrVIdF/8KguekJnZOoPULI/d4aH + aXusgA/On8jfVZYfzfrtu6GaYJMPkLdkOMrcYFoEuXwyJ40dATTkbSwfQEIXZHHk1aCpblWjOn8F + WmJ8HEYxVHYgfhJJPlasJnY8v2bhSXK8ylvieXWxsfaytssHpzbAO4Y53rHmeQPu5d0pv1tAssab + ep6F08EuUxulsC5ecu3tt+z+F162sGM4G5QUh0KhxXUCxAwFpKEhWzTv+5ZhZWfL9SsKyBnon67G + /6H07XfmaAvN9UOo1gANlOT0alK4alqS0lLzpEChPHTM+as0htAAFZHasaviA4xUsVPtx52/CE9m + Ew9QrUsqJHDxdUgLzPHoxtzmPrf64dp2Ly2se3lp7fmWZznYZSG+FLOAA1diCkVg9RhUToc2hHpX + Q7qmdvz0DTu/uKukY0qNyrREmwEvhjMZtQth0r/ryVFpn3m1AgaQnbTvfzBDCnaoLeka1y5Qcw6S + Z1R2oq5GNlDulsWl9cjnEVoEnYFYoFLze75DRuTSPfIxTrwDN2bwybCEGQoj0BFWCfAMx3fYP9cH + q07muPAMcvNFW/zfM4ASmgzNliIdgAq4gkNgBi8mrWDtvblda5vVxqrZxK7jBfeeu2upMI5sJ/eO + kIQCMBcklPFl/dNP5HjN1aZrCGNiDJpJnPZFaFFHG6s7DoDEOfLhEgjUUXm3vDjFWpknL2LNjFQW + OiyuthXu5xi0UdEFMCutvTWA3uXlwpbrJX6AVQaFndy6bidP3IAzEMRQ2hSqDSMy6l3rHixt92Bl + zaImK4Do+HB7iTJJeYdKkqyDaGqu7C9Xtjm9AG+ovxEyg0aPnyItJhu8CTsBqKIDKUaVoCWhiKXn + x1kk4hEEogIqBHUIXSZtWrou5CnEIvavEt6D3vCjFutSf1lNhVaEAS4o74DyKpfT1PBbXIJ6NGxw + zZq3ooh2iRZWtVW0slyToUgsQByefulLdt9/MXoQ2rbx8RH7MVUlguBB7cONdRuExtI9/r/nmXv4 + bLkg9x3xflE9PKZ+sLPFvYXNn7xN+uGds8Jmbz+2LUba7naWbSU8O6CoLlWpkp1ynaieoY8zGf+x + AgpOVlRmQ1AcI101M0h5QnfU6FGFAKWPPkXIkPMi5aKd47Fyv9pbWJPf407Dg3TUb8j9fHa4aqfc + T6hQvkXrZQxM5EbRtEKIMw/nZ0D8NPyCceCZ8i7kklICtYB/CGXO1rbiypSUenb/gRWEVYKHRgVQ + RY6enOKz4I27DP1LlNmnAB+4hq3gZaKZfYCqTHnFKwoYMpICASX4hBQ2QBsDFTnk+BwccOBBELER + sxMeZHBiT1raASorBFvtXbfcRQVICQ3KaHFnGn3EDUrbywNESmBqeE5sjzEoGU0ASt+QAUvJ/EbJ + UrpaYQ7tSAF6RkL4hVNIFM+sd7gHZGaJhz317hneoBYJCoIhltQiVVqEcTYOq+YB6fZU9sP6ACpf + eFRALIj7YYseIOpL8WZjhJyMYHkImuMKyWRgnqIjG5eWT4l74rAnlUE5He2ssKUw2TzYPLa+4QlJ + jZYJgYDADjB0Ij0CSjwF1UULiyHFnV+SuReaSyH8UCwrnfZRO5zku0AwIXwCICOFq3gKvo2pEL5k + zQYPXG55egI9LshckLRr8IT5JemMZxCJtA+g7wApvUsala9VwJiTaAWC4ZAXbqZChoMO92rLPBSj + octRRswCQHu33tt6sXGXL1+Exd0Fg1LcYyX2DBVTrMVkkSK40PpgZaRDKGUECapPCrmDClTNizFy + Pu6MuSNceikqhgmfAGJgjkCVgsAUBwQ3hnSUeD4KoFTEa0iZyFKCJW5KQUU3ykPc5AJSjug5OAgO + UHhcbdEDkJnqjEGDAZTvADNPoRWj21qE6BiIGpPK7iZOj4tvzteKc0rRlNTJIBBaNJbCMaKvUFqX + R0Ny/8H0HIoCcIIDXB43iURijNa+OhCtHt2fU/KOhB8HpTEKlMIvtZIJL76hhITPFN4ggKcHwVuo + AqDvKQw2kQKg2RkMMukB7pRO69UWFVCgGfKyF6CTLwlDxV5wbdOGFiQtleKkDrWz+b7HEg07nJCQ + oRVEZIUEK5BKJb1AS/IwTqzMgOXaeiGfBwvKrXXJ4ZPDB2qhi9gfKU3XiEdIAfqeka7VEUICQgWj + ILBeq3SZEm4ZYKj/oothUAdjTecYW+rYoQB4h2SgpHqtB1RggISJWEo87tdb0hDonTP0CX9OaGa4 + CQPG8h7LE1fDmxO6uryg5oWkuQ439NoBv/1iRwqEFEgnqvElgKSKkkoTVwrRgSitDugk1uSy+B3B + 4w06rPvZ1SyI+IBmKa5J/wgVy2n4CKNXu11j12QEVrbRjSM7v3+GL6AoOIuYeIK3kAllm7hFDyjR + KI4SbdWR5327M7IWuUMEjLq/UYCTBlHA2hFrs9Im8wlFBymo5lkiSAKnS9D/AuHpzfWkPoGfBIpW + lHAoOlonHuT9+hn/02G+aYAcE+jJg7QJL/RdIRWFRwmityp5NXhZNVqW0XsaI92moXgCtMUaGaPR + kU5A1jj9RqZw8BnA5bUKqFAAGnR9Xds+gZjiCmKLxQl1wG0EJbVYAdLynwZMkwNAwYs6pULChmZn + zsDUt/P1DkvUUFtehCBBD8N/5cKSUEK+skk6Nj50Xs+++slvfuE9UkA8x/lHt/JIaDqNVCo/usEo + GONgOOU4WTsqRiRjv6eookbnek9x5zEmvkqjiLx5tR0wQABHcPqmcy3Mq5oxu4PQo7dTdz9zZBkh + 4IF28fec+S+5nspdVZTc5jK0mjoUVFPRLUk9zPhoMBqyOjtyAd4exZMQjwSJY5DMjw48FvYguI7r + dHQYnYs/8JII2qQ54QEXdQxEXCMjexV0l2jHcBMhAf1WndOATTVqxIDMyjFOgcfVFhWgRqLvmZfK + XaoJjCENzeHtqQ1uzSw/xhWGvAS0SXnYIJa6ug3h5OpMdTVLuAD8vT27jCRIdYBqJg0cqOJKLH/4 + EQ8+entsj2kgUsDVzpXxev3WPQfP0HMQnF38QYVWRxntqXpaga7iRoVaTxeDqwhGeqot30mXgCUx + gtK4FcMLSg6a5JMtKkD390hCpzYtKHura2MbXIdSzoZ0fXIeAqvj4pSnlGhfgdACcAGtiwbvzla2 + u0f1doHkwAWOEqswDV561+AjCOqT//Q7CsNnBEk+tcWx6a8u4PMRDup5MY/rrxTAHGEHH9EVDs9L + YaoZPD2mbci/LC4Ejk1VhFPzlaaN87TRexn/Cl/0lqiAOKwUQlnS2BJo4EqawGBqmo4MJSeWEIlQ + fDlq/QzXk5e1HhocaS5PVMjjHYEmaZSBcpTAwlrygMMWXfjquxxCSlB+13i06zpdE6+PSuCAjmmP + J67Uxj1MREZv0BFkYxIWUSD8BvjRyopAyVBltbhrvJqAbVWq4zSciVtUQBwAIR07CExvE+uuJVZU + j8t0BVMMcv9E8CtUAoUEPvJFuSNnIBmAInnXqz1GR0a7o/GJMWR8GfqxgBJe8j3+1PfDeB5fc7jg + cDB6EoOMmVCKQGA1WIQFwqMD/aWSpIfYQ9vVPNUTlYGVergyvrxHeBrNot4SOW5RAT1PBh23sL5Z + i283ewBkC7IjWubpbqJZkYxo5URRKNIHVVVi5QmJmiYTeY5UUdoI1lWRHh8+fwfiBE7oddykG+Mn + HzEWNQTpWJ+HYeob1v4Kr8C7vEppBkDrlU8eyHtTBFZnenBjyJwi/UZIT4cRHBlK8Z6ljJ2wVbeq + w1PVfmupVVTKxBfxJyqgYYTM0e5a6nq1rFuEpzmA2SANjNSjZV9qDg4lACpSYCPzEZyOTpEmRESK + kpxmRTW1qaPW2JM57twFiQEsOAW36Q62gxakDLFLeYbyw5VuIlnRMaGfro+e8+ikPolxWoZMvfH1 + CP5yfWDFzZGlfKYzMgPG6COoR1fBILg9Ru03Teig7i1KaDJi92qLCtir8HBMreEKQXSX9lailpI8 + Hs1fbB/SpWnt6Xc/Q/eGGVFeAOWg0ckgeKFT1xbEKaaUorSmwhaMOKVVhaI1L+LxlJjPJSgWjILp + jw7qgyNSweFMPMAp8rkQnF0FjiKONUNkI2gt0ZYy++yYQPVjjMHMtDFJo4uiZ0lr+smOZaHtsNr1 + PrTrxu133l+6HQT/sEUFIDlaTZaBtEZMByfhG4omrvHMsy82Z/aQXHv7mbdZOjkogCl5pRY8gJhX + kxRNZycwsPnAmtO97SiVayojKfFgZa7FKAcFYF3GGHcsHYXnU1vUCX8OMQ6MQnhygFn9wD2peE/T + QwooVLEe064jSzdlzPDiMrEu0PMkgylV0jPsVnXYo4B63QT6KGHZ72koHLaogAtYFD5617MWJzSg + yx5bAIB7ZnFqVUO8cMYKD9YyRS3LhERatIryXUqqJElE8PG0euSimisYMmHR3icGdJuuR2IaMvE3 + f6MnSAkRJHh2BEV+S7FMZWFm/ufBQ1Ly6PaRtZS2EtahWLW26MxZIPyEUVSAKJsJm8B9RLjSc0ur + vabHuGIBxvoS9e1YaNRoEYqP3SCpICrgIRw65PldJlCIVwRlqsoT/HWzsV23ddUTI7t2c45UvCxF + QVifyplNORbbww7FypgNEp+IislIRePp2C7COvIZKUD9OfUlJbP+BNw5/neFDvGEFIAyRfICGKMy + vdQymqfmlt8cat0B90J1+pqQYHpdNThPkdVzTY+qB47wtqANd7q27YOFrS+YRt+RjJhk8X1K68Kd + agjaogLOAAn6wX/o1WXgeT0eoEbObl274fEojEZTN2E+Xt1bIXRCXBV8Kit2OE1C/4BFGoxa0Qxw + ShksYsi1VExW5KeChHUVUQliBsog6vpobkEzv+RZrB4vhNrSfCUWBQGas1htF6wV4HsJOctHZBs8 + SW16BanGw7PjdBtd6JZmjb/cWXdGY/WcqXSU4UR+WHIj0OJxlyywgrEdNmxm9pKKoMHo002XMoeZ + 4PqkG+rPHVXeddZ6jocT5gqYiOQhMGasSJ5nh3miCb2eAfFVlFT0VhwhRfhE4UH4UF8BpmpRMND4 + XlFrFIDwPa0Jpc+c2RpVccyfEbO0xmlxaW5VTG+5PLfl3RUzRjMb9Ucw1SGLrriW+/VeVhciJMDM + spodQvdnlOxnTKzQMxSYq4Gb5Exr53S4k/7zp2rbXW2i7DC6YGch+cyTHZNHTZ9uQTgmNRlt4mrY + A33QaEZBwJ5wQU3wAmJxzPo4Fvmo5STKy3AZjnycCxm9CIlSpCZLmPbGYFyHpeOUGTGUMbWVAaCe + CT7m3u3GO5+24vYNu/zDL9mXv/D7sFAMgdVkPFkxUe+PcrvDNTQkZR/l145JC3ewfgi6hv4E73Sa + mGnlyoASK4tsj6Jg6v/zXsvfqy2GgJTwmabZvLvKP7Xa9n+hyTqaOk0ymJduxcUDBFbO7lT4UG8n + 0CllgTg4GolK3hHMJDzHMTcK4zBInVJbdJTIqlZFCVWaqi/gsHTBYoqUXn7P5Ksd83mCWMfqLxY2 + 3BxZQustw3vU5s5IJwC02ZJwTeXBPN/T/FTeR7lqxPTLNngUAQhCYaC2or5cx3wX1WhmMjxrkT/x + ArPKj7aDR/LrHC1+VzUe5X3/gbYjZzItqyU2yYSlbkeAD+7pYTTNhti63FABUvOLCLBoUoitAcr+ + oCBIjyBQZMhHTENKpT0kK/q/5gXVkaPtntFpziU8vbswoTELq8tRiIOGi3/ELhXAW9LBqpgcdbzP + 81ugEvMlrxGpVarzsT3fuB63h/AA5nTu4Sbwe9vVaVhtnDvfdPc+ttj+xOf2tKyvtscK2PKk22nx + f2569xOt35d0+qGasGBcc8xysCEx3Wtxw5KyVzO5WMfjmwEFqCMT+/g8g5WgOIMyBaOT0JAqKaAj + AccSkZQZV47g+lpQISUEym1WmRAO4vZgBZ6SCxQjaaLLi+BM1YMxjEEKiGThSgJInBZa+DVCqyu1 + QQl7aplWfebCNXjJeuvcw0Xbv7hpfvIXF5tPiSI82h4rQAfudG37nZNZQefkL7Fo1VXDvJvPRsmk + KmHGuNNu53ZMRAYIRkRdTCqP18xLoUFpbQCIrunxDiYTGKymzkCUiBExXdKzF4Cp7GYl2WHZHKs8 + HPNVmY6xajQjg2TqOPHM+O8IxFTxUFUDamaJGfJ/xFzRdSq32H7D+bhO+ABo9IXbbEO/XHZusSXv + 1e6Fj5xtPvR8K6r7yvYaBWyw4Kgof+tGnvwgprw+GZbheDRMxqQ/LfjYbbdht2EpCqszcd44I9xT + 8SWwxATYZA1KLEc96awRX1Csy4oMEnUAetylAoZFkaLN8gh1iVXGqrBJtOAJ4JTwjneKDmsqrSX0 + 9vQlpQApGeGlALIKcIrwaCmWuA05Gs9n4RklLXxmtWjd6UVtZywZ+/zOf+Aj56sXXxH98O01CtCh + 5yHLf7IqP8m8yA9P0qRgdUc3znP6IqTApkn2eIFmf1VPBQBH8deuIUxrZuwIb+bVgocXqBXGfKDr + 1KVFQQWgNyCUBrNRFD5lmjvV7DLhw1qDOPWmxoUsLKXFSRMpEG8S96ABEoT2HVmI7hUYo1JbCkB4 + Ks79rg17PLOG7bUrEj2Tqctl707XPtzb2z/92ZcXv1zznK/cvkoBSmZ32u7B+8ajF4uu/2DRtKyG + KVxZlt63TaL5ACnA18zboACmwtzmYhs0T0fFGPIpCwm1ihPX71Y715wv8RiWz6LAimk2zkOdQUHA + UFkkJ67lyyJFh+FhWm1YX+GU4k2omXUXFELMSbSaHSIbBSxP+1I0AUWjgG0b6i3Qve1dvehsc0ER + tw7hvEl/+ZdON//wBe59ve2rFKCLVrjsKrjPPZPTCvH2PZHZKYnRTBTR0WSErJ+TkFMmJdC+sQAs + FJVPCtbJlxCOSv01UVKYmZbF75iu1qI1dZNj5whfVvz6Fv/F6nCOA5jmpVMIpHgGF8QcT1dJawhd + Ae8ueW7p4B+4m+uZAWKy1DMX6Ylzlse4nmn61TrZb+ose9Bl/+U3Vt3f+OgljO4NttdVgK59CVeD + W//XJ7NCUz/vVycVZ8RisPnGY30wGdaYKtWA8AKgXOt+sTyzsxBEgAxGmVCHbxZrW1wsNcWGBugt + gFnCMy2qoBWpJc+8QpCBR0BWtOhCIdB2nWtoyXmyScq7MAezyKwBY3pLDY8Obt/UzvWkdRTADina + JLZt8uxBk332d3fhL//ivQsxgzfc3lABcsQXMCuC/NqtNB1R5HwHiZXOKkHHgJiO4p8HEKOatIye + wXJVhawMqrKUeiHBMxJAsqMZqQWQntDpUApZxLkdyQiMCHXrGvJUzWRre7lzHelVx5iCc6yERsEo + BxG01qCB7OxWh33L9y1ttx2LJ5jHcS3QxGLgZsu/oniwd1/4vdq+96fvnC72rxP3r9bGGypAF0kJ + z8m6Ifn47dTd8337V3zbZizcwwUBLsbZI5RqMbIebkpoAEiKT1pQweQBwotG3AaZNGCWvoi1UWbi + sq0DRN3uYuM2p2tXn29ds9g7ESh1nDXZyap8mhmdbek4L6HBF6fgDc/YAHRrJmK2mpXap65hBcp2 + 59P76+4LL9The/7tg8XDJdjz9bYrxPl6l5m9l9Vaf2te/flbqf+FqXPPTkC5tPM9JQZgHjyhT49S + XSI8QWsJmD4r1UskX+3x+R1ceC/FsIs0lWQFfAf7AHCcY2KDfxOAlxAOiWaa4AXDowFz/VW8b0VD + Y8XiizVNjW7nk45+RWgpZehZco5yF/ZYjv7beVb+wE8/OL94qJmpN7G9aQXoWVpI8e2Dyv3FQfl3 + b7nwk7nvbwNpLFZM4A8IRW2uf+3FP4CIHZxUZQJ1AvmZ3iiVH6EhxGPlgUAefsTyRa1MY8KeOxJQ + HksSLkxqqN9Y0eEtqRLRma3rzkvINd7BmgAUwEHwB1SyNi+buix/6ve8/+e/9IFddQ0AAAFKSURB + VPCMtVxf3/KPdPNHUsCjm/T5BHn+z+TJs+8vk392oyq+77goTkYIMwC7tcQuY0VSXBWOtFLAHstq + Fw/TS9FlnBM4qASFiABwXuuIWsq4HiVk0G/talgx8Rtg1A4dQXOZJ6axgeouaZB95J75f/Of6+Xz + n64ft/pePdSv+f0tK+DRU7WY6buhr989rN45D+79o96/Y5Clz6CEZ1DAExQGExQwpRdZbGjIqwEL + rKkoFLvUkmLRPYCUGoDlpPoHUn3XsjJNCMuV0VWoyn1yHy75MjOAL4ak/Gzr0v9xN9inPra9qD+3 + 0xK8t7Z9wwrQa5XGtU3I3fqns8fsc1je5Co0WKyitCZyP4a9EuHM3SA7WYN6B2ohBeAlwIrmuroO + 6Zuu51/QMZnlw3bHcvM1iluSFRbs57jACjaoNYtvVfDDiP/47x9r4P8BHTwK9nMbzzIAAAAASUVO + RK5CYII= + + + + + -29.624865 -29.644538 4.000000 + 29.703573 -29.644557 4.000000 + 29.703596 29.683904 4.000000 + 29.703596 29.683904 4.000000 + -29.624857 29.683904 4.000000 + -29.624865 -29.644538 4.000000 + + + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + + + 0.039362 0.019681 4.000000 + + + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 + + + iVBORw0KGgoAAAANSUhEUgAAAEAAAABACAYAAACqaXHeAAAACXBIWXMAAAsTAAALEwEAmpwYAAAg + AElEQVR4Ae2be6xm11ne37XWvn23c5mZM56bjXBsJyY4kNBCUhJMoE5FVJBc1D8IhZa2KKmoxEVA + pUptgFQIUS6VKEoQggSXoBRU0kSpaGmoLMVcCuGSGBJTsB0Hx+PxnDNzbt9t31Z/z/rOGTyJZzyG + SPzDntnz3fZee723533ed60x+xs63E2eq99u9vtNbn3JP33en6MBTw8Ke+2F03bPyYldmBR2YpDZ + qOAcD6waFlY49+993705i/Gs76M3C/+vs/D2unOPHC5bu7S3a8/u7tr2fGbbi8b2uswuTlv708v6 + rn7JQt7shs+rAjaCs7ffd278xvMbv7A58PeP8ubtXT//ae/dQ9G5M8uu36v7mFeFfcMgNxf7Rd+3 + 9YfqZfxg22WTslzfKsu1rRjb2+rlbDKvZ13d1gdFOfoDy4bvnbnw5J9cmdu7P/60ffjZxpqbSXaL + v/2VFXB8Y+RBJ4PZKzkf3DK779zgoTtv2/yWs5vDGKz+ga6Z/SkKeF/vvEWfWQzemthYG2vrnETo + rQqVla60+qC1ZtpYWRZWoqFls4zztumHxTDk+XhWt/5te8v4X670/h99et4+8dAnL/7RB54+tEV/ + i9K+wGXHcrzATzf+Cp+1b/yCib1xy124vVx82yT3pyZFeHxtWN7L+dbSdc53tbl2abFrrO/72Hkf + Y0BLRWHViYmVmxNDctdatHpvau2VqVW1s7J1sWtRBPe1sbM+RkKm9LmVltnAur74X32ev2nqg7u4 + XH70E1f3v+Odj27/7sNXNNJLP16yAs4PM/tPrztr966X/7rM6h8PoSmwaVflFkLhzGWuL5z3gdn4 + prfY9tY7BMmixZwvq2A5CihOjM3GlfWETbs3t3bn0PxubQEP8LJo7GPbdjrNt+Z94y3rC8tcYc7n + sctyd8h1l+t2+ex+88BvPTP9yE88vm/P8cyXcmCSWz/uGXv76deftVduVj9y2yh7x6mNMpusF3G0 + nrts7KOfeGdjzmHWu2rQ+8HAhdHIuUlptl5wZmabaGqrMneK8yTnJr+V+FTmCJFoHdNxZbAwyJ0r + 0A4/dbGXNzgpssNn2m7pumbBLb1Nijxbq8rp7euTX/vGe++w1gX7o8v7tyzULSvgiwZmP/qqyZvv + OpH/59NV/KfjvHG+wu1GnWUTb/lG4fLN0mUblWXrpeXrmQsbmXMbeMVmZh7BPcKG9cr8BMGJ8Zgj + NELwK26eGRJa38Xk9nL9ELJYlQMLGdiBXl0eXESHEUWgFn6PluEuPrYPl77/8CR3W689O3nTXVW8 + 9CdXZgsg5UXT6S0p4BWVt598+fqDd28W7z8ztruHgVTk531dNrEeMlGEz04OLWyOUMDAso3chTVm + vI7Lr0VzG8E8HhBw+TAaYOGczIcIXRv7urG8x8woAFcnZMCEJQjPmWdFDNXIQlG4QBqNeERLKHUI + jZqSEpxu6OtXOIB1HOI7Jz7+qwvD4nseODO5cPXS3sOfbo0n3Ph4UQWcQeP/8eWbX/mq02sfPDVy + eZlrYr2Fyrm4TtCfGrr81MQFTlsrrB1l1g9AfJSGWc2wMpYznyNgFszh1StX76wVOvQdc++cm+NR + i85lNfad1W45W5AgetRCyCO8H1UWS+9azVjeYDGWIXMlA/LVEIh9gHMjj9FlQBBPfNXd7eKtX535 + /2u9f+qxG2AD49/4GCHDv/3CwflXnBz891PrVcjDrMVls55Y9bilK0vzA9wZqxoT7IpoS98xYy8D + mzzVY12X4JnBZLQe6/WK5aMTV+4gP81hZ8M6swxeFLAvJMHV9ZLLI0OHWIAlnsTqcB4gkat8zJbm + HDoOWL/vGzJO67uGrEFonMqzrDw12tqsD379RChfc9XCJx6WUj/ruKEHKD181xlzf//08NcvbFT3 + rI+Dd1njWk+ewgWlhA7r9lgnw7KemcRlbc2Ch5DGUnpBZoFbn/mE9h2fO35IHs/1ProksIReic11 + 3NJISbxqDJ3ZKgRij+UhVClLoGeyQrrPkRvBDhSPshzY4ZEKbbmsJ320TTZr+0dmjf3xby2EHdcf + N/SAb1g3+4db5bfcPgpfMQoNw+KaruUPIQdSxSX5bea8OwCLcfHVjBtMwjmC5hEOFgA6lCMAS1HL + BCNxHtBEgBgFTZbJG8RHSqlDY0vxO6U7CFOcgjV4Bz5iS7zBcV2eCTyZA4kmggfSWMf8pBwP4+Iu + MgH3cIaytfGGt2rZ3HkOsE2OeL38APALHHcTv995R2VnJ1k+qRRtTd0vm7KGvS2MSYXo/EB6JjZ5 + kNKTBXkFz2DiKY1hNYd3OGJfuR6VJfeX5xi6i1Kk1Ary6w8PAeyYjsKGCBZQ9kWwboFXoSQ4oQ0Q + sioza1BiBw71KAK74z2Zy7KBeZQVa04IVAs45hCtLJZWHPrvXyvqn+XBlz9b3OtC4NjlfuSuiX3J + iZGdGIU/zIt+swjd3+u7xsE5eDixRhx6CJFbJ7WB7kp7YYwVBwxH4RMoeLIhdKjAE8AK2UXTTKIi + MNIny5HeUQBTQicYaBUSuHiO1wS4gBQJ8FkTgHI8oyB15qMSD2yhyVBpbpb+AkrP8cIECITPEvY1 + xU2TImFne3VWbc999f7d+tc+WwHXeYBc5Gtxmdds5j90ely9rMjmz0XXvkUp2pOTh1UFv0F6BHcb + 3Eput43csrHAkDSX0C5GEF/hGH2HpElChCO/64xYU+wwgso9LE/vZXW5sgzgwYz0BkUExlTi75a4 + 1nKJ0gFbwiBRwxqBCfnAg+RUuqlH/y33dyQVECs4hVnI3XhERbqFOp48SI/S1cfHdQrQl2861b+6 + Kvp/R8XWC9sgqQnowrCMAf7uTq45O8EkIDfGWxtxUy7fR2CBlwTi6HkVqdEPoraedO347HhNIcDv + DgWka5ILMJZSpGI/gScfCQk4gJWUw9ai4KriS5QvvjfH+0D8ALDJjRk1ASyMmfvxuZQeV343HAz3 + T54Y/Yehf9IOUNjzj+sUAKjbvRvhHw9KLsoa32aACaP3PNdX5OSKIqdoLcMLnHLkEG3rWkwgy5LT + rWtaTCGrELBSAGeP0EkBUgJn1HcpHTK2sEFGZzjqCPgCb6guhCUJzXnvcMHAeAJBLjC3ROgxqW8K + RRYT5NpMhVaNR6VnR5OalBEorRm7/xROenGLeR6s7HNNB9cp4MuoT7Ym+ddkZdc12SIYVLfTBAbI + MnSurvDVfGnQb07iU+AmaZFBLy3o1NctFyIw1tGrq3ki7g7pw4pSCF6SJiGplda4V8IzObl/1POU + 74X2/IFukUn4Dm4RAUaV1G5AyEHBW1C+9Q0eEIBjRIYPSMHgscTHFfBC+EHhmvtGoX33/UN765P7 + xqz+8rimAOi8vfXOwZnNcfg7wzEsG6EjlZsD2LI10duhxcnAejo8fi23lt9JRaQflIIU0jYghBxM + Vu495/tDWQnIFguT+yvWeXqaHAIBLIkZyodlfUEIOsEDeJVe8RIBnaEMsUHjlMP7CgVAwWOxIBjQ + vW5SApR+mzYyLcFW8i4KcfRbW2XLf/ZPXrbxSv/0wf0/d7mbH6tA4SMD2A/fu2mvOz/4sVMT+7vV + CJ1yGmc3zLuCjDA4vQ7lHVu2OcT1wyoFBVw+wj35C+NzgdmEFuChQ+FgdrZfW79H/pYS5ny35CSL + kjnhArg7EL6iQNzHhEGtRKg8FheuCNQiipHgyiiJU+gO8YjG0UAhK+0vLMdjCi7uDhaxnS74HUdR + lYVqmByj4qo8a2tcnXvlWtn/z53m4V3CRUfygC+md/dV59Z/9cyaPTgq5npezITkeehz8q6n9m5x + XQ9oiYzDRIlxKrEEtQgDAShAa4h9ysP9nPw85VpOP2Me8gDlK1k4ubuenEyXokcehB6TZ3BJortx + wXdcm5gfVZKT6zPndBVIx684Br0FGhHugECcL8xRU1Bsp98AQu5RAsYrCAsXl7ZWZfKnr3v1mc23 + f+rPn+WXIwV82fmTtjnM7l8r0RUxVeLKpJLOk/DRLbU32p43iZ1JYx7r4ZXwAYbnIamaE/zKygBT + lPUPV1b3WDw173BpRGZSiKhuCVORsNIKDqR36dBbt0RZIlYoRYCJvbmME1BONwmZIYwZSswFyPt0 + j6ZzvAuA5moyCZfrZCyNKmND4kosNa6aV3zxuVP2/ucr4E7iO4uHD/k+fCeoIW4HmPW+bxh4WccI + NXU51RgpJ1FdRlaRk0zEC9Wbdfu0v1SAH0CUiP2I2zspRC6vAgBpFft4ZJJBTFDCrXIkg+AhCUsU + FgwDm0npsk/Izm+SHYFAHS5FTJSgkQKgKaTvUIBfyDMBZ9UeEDBJLxwRy3KKCEd49LPJF5y4wAPF + PI48YAvKuVzMvrsp8j/01r4nksrAV1fPmAeVWBbGsYJMyPRKXzgeg+nUEBiDltbsuX2L+wg97WJg + 8oH+XkZCULyr0aEnCuFWkcl7hFeYpkYHPwrlAQBeeY/HSWNQWkAWgSU8AC/AFah1OaCodMjFAdre + ME7bLC1D0QJWpVDcYwWChCWexKypK/tF6OkmbQ6VM5+ngIr8rYiHXv8Uc0hWYuppshR/gAyFIEDS + 1LXNaS8U8O6Smt8lNoeq6N1T0yeLgYsSGhCQhbGR/Jwz1QISSn/0G3NEKHI3zyGUjk9qWUCPGxpg + jFCRLFJU2y8YTm0xMsMAS1OjeMpxmJ7NwOVZXgOEudFJS7iQkyka6ogOr0V+hV6ih6rbRKZ5cjoS + CEKsQXW7jzy9RlxxJS6EJunKYZXgChK0HG5BmTvrF9yOCwrROoIFitrzKrjRZHUmeJfUHIp8TSDF + s+L+WCN6TaiIApQCleulCBTgAV4VUl6cgO+hTTyKMQTvYINcXF1YD2a5gKXXMltyOugxTZJ0r0r0 + Dk9U7wH7Y0+EAUilMHKlUCEdSQEiMHXX3tlReGj+iZTgCjmD6Qa5cTeHi6P1igZIBhHhScnqSbvo + SUwsMDGFXnJVIHulAllQsSvbr95LbgyyOjUN7BGp5+l2pjG7huUi2KcDhBXT1DV8IAsxdlIK9ypU + 1GmWEobnNwhK5npAZpjxsCWOtVjSHwGAuFfEmAE0CeuJl1zgfXQkBShCF3VzoifFYYUVSnFBhgI8 + ZS0waC2un4EDxdrQgFIqNTQPMuEgK+vR/sp4Hrck+pziW0DHOPK39MocdEh45XwxNgVjIjyEhdy7 + hzOrZpDn0NkSLKSQESNMSsNbKLeQh1e+kxKqrXWW3DbMdpjApZnV21Nb7s+pSzDakeJRX5pIQ0cn + IUCayREInrtwm4WLn9mIaL1WkwEhWmmbGJTyAhpLrJBS1KEEr9SDqyKyRVWHZARN2otkaplGocOv + YnPSOut/gqBV2OiXpA3+QRGKBJ38m05aYXgcQAKFdhmvuL0QPHEPKQWFJ/oHGZJnKh0yOm+kECkw + QjtosTV1zNpWjsKv/MZJvIA9OatN1yJAopqdv/2MZXu2Ls6/AK4I+ZRDWwZURePz3OXU+ElYxSdW + ENiqaInU/0K0VOUxYaF2koefJWgSHuXovTKdpqv3EhrGuhJen3U9v4smpNaWQoK8zifmLUPoopVy + lVo94Mlk+a5jqa2nk8xvYJkjdXeckVNYp6owKVkKwAs8MTNP4/KRIykgJ/ZpfHApBQZ4IPqZRE9x + DLkAtrE17IiHCD+wtPh3L7Ki1SAxM1KIur3K08IFubWEVymMHhGQ9/qXeawsLqvoew6+Y5SjV13P + tzyHThTtRcZC2aIdiT1iPTVLsoFyI7/hgBGaTGeS2GMMPEddIeGcfDSoJQc9pzhjUO+KBlBPaVYP + PlIA7SOjm01mF9oysCoM3B5XYr7EBK1r3CmBC2lA4zA5FKAqkcmRI5OFUMcKsI6ElyBSwsoCK2El + MCOkQ+9Fda/9SQ7Lt/qr/I0rRwEjz1NdoNYai4yWUaRpiU39RRISCzNkLAEbFWgPYzXK5Z77g1xZ + 2WVVEqhZ6FjSUFV/NIMjBSxm8ORWUslFZCcGRvOYTPUumuVk3HZKs4mBWZtTDiaxgLwiMFygOlyT + 7dG8Yjh9liU1GBpILzwX+/BnZX1+WQnLZ3lU8oIjsNLDU0wobpiaDoWIOEQP76gPdCvX0JrLULg4 + iR1SD1zlh9WaAlSihyQB1DkrVlpSQqa6q91CzOro4FvY6/7MTtVcTelL0UGqhnCodmd81eiMAThw + M2llQWxlpMOiL4kUervMSiWr8nQiQ3JdKY+JSmrpgKkjIBPnXQoBfaXvOVOAHn08ElrSoiVJe6QE + 3go3dEh1Wk2yGd4xBJQpSqQYpVCjEmz3pykEFC4tbeeOBm6BF+QSZLG0OcCxTOiZhlthwJT2c19n + eLwIEG1/JFfKUfDxyfWwKdHNvuDBTCAtS4iPk4c4ndpa0OekAClB/QBJexzrSfBjJWiyHHrReSQX + r0c/8Lr6Te6HApiHlCQClU5Zm/E9cSzC5undSU89LHXWLRCwXjFBFmYLNWXhMX5JuLCUjD/YIoKW + APnxkd7tsSTdt1mtxZVE83Fr5WkW9BOwdAtcBtePUNDAzarOlKOJKMUqfVM0ceQBek0glhSI5RFD + b5MHMKY8WtZMVrtOCauwkCIQnUNSiZXqe33LB4Eef5QJc54faJG5IUvsjLNg3WAGhZ6Pgw2Lyoox + S/CB7jQytAi2iDMClTVHfFtbVI6PpIDd6ZQwc3Me7eD9OA3axWOSdajGPGgfeHha51Nhw5msw7+y + I9clkTTZ1TeS8nmnnpY+60qE0dWcqopVHeo3KeoYmzSKCijRIF0nYE6ASJSKLufr1CI0aYw9BpFl + OWUtsdMRRdLgxKYVIDONVKeqtN3D5cEGrTUWUHZqp3xtCHofOV9SwOVDyr6RHSQxlG80WZF6xTE7 + NZTKeAavLIPIKkxa+kimFXZyvao5nQJR5WzgQRZMSmQUicSf41eF0YoFpvSu+/VMDilBaVMMTnCs + r7XsFbGivEIMNNfminObdKah5PAQNVI9DdpizPcnuZmq1K7Ah68urd6d2XxvEefTul+jdYQC3Lis + 1njUnp6XFPC06vmx7QjIcSw1XkiBuDZfiIyp966QwH/U3QVduLBVkckEk8CEA/xSJ8UD3iMrI5SU + pCNpayUkahV2JDfmSkU5QMl4KESKkounBok8hc96rpSZ9ggMSfpY33PaGsKrG8RFyvusXFmLpSMW + D7R+/T4Rz7ab9rBWumSdIIPPITBzybp4lqH/UgF/frCw6Sl7akE7Uf6v9rYWKHN0gPVRCjPWgQK0 + oJHazw3MnRpX4IrZ5TG8D6wdYw0epOxwLJJuT+IItPDpZFmklXvrSJdKE3whfn9NGbqA79X29pjO + WH0y+ttRitDSu5QFPnUzVi+uHlID7Fm7fUhPkkJuCavZp3dAc5ZJwRNUJzMPsLCruzu4+THOlQd8 + dHvftu/Y+MQmZUjpohBCpI7MCelIeU4xKHLBQ5XjycP9lA4Qi3WUzUkMrQaphR5VoiKomqMkR7CT + e2VhhHGwMgmk5XApWdexqifz4DjCAKlpxSE65XvBluRUGYzMaE/mhgbT/jrEczQnUl+D8N3O1MJe + HctZH2nhqIRxjezGG9mIjwjPXhI39Fy2aggeK2BK6vo/O/X8xCj7naH1bwBbSQZymlWc4gwriit2 + xrUmtkXqjHSNIys3ctO0oAGlRilJ0IDWhdpiZB0DKFWJwno6EhK0VQ+BCaiEXi2iKvgkMmPC79V5 + UveHU//C+JiEQgE6mJPWMty8Ja837DCrnzuw/vLMRoucRDUg8aMtNXVRXMfbINBGoZRHbrvxf3C5 + 7T7Oo9ORMEDvfvnpqb1uK3vP6Y3BV2VQKw8ZkgeiZZmFkKYJwaQ6Ys3PmOwhE2VhlNnhouzSwCVb + 6GkPSVJXJ23mkfXxGhEv0dkSFy4HA5vzvgZcl7Iyk2SjB8xSbs4WOpQksZPw6R2ewmsLMnliPhsU + sSjZjwQByoCBMGBO7JTQvsO+y3wL+xF30VyRQm05QiDWhHPRZsPuiav7b/vgXzy1kp5/ryngcZje + R7bnv3jX+fEPsq57oZTVoYPMRB1mnoS7Y1G2btLsFBPDdeHcaAYcZPII4MTRh7yKPzC0Z01PhVKv + 1pm8kVLa0U/IAZZFx6RVtDCmB2lzlFaRw+k1aPGH6JbX6D4wAw2iWsIEy2tVSktkeE5AYVlWgAGE + 1SHdTOa8mJP+eI3UBepWay9CjmaWyzbuOv99Dz25/3v/9TPTawrQTK8djx20/ZvODncmPnuw0s4b + 2q2K8uSrCMC4qcGr/kCm1hXb3zwrRTntK6VNdvbRIqG/SKyzTwcFaCZgJ9+Ll5OALd9k05OYGMpS + ShPidFrnV3cEkMoKQEfgzn4gzxI7y+7OVSiTM8MD0r0qfNS44TUSUiFnDwC7ycT6xNoFzKK/ZC/6 + JQw3WnPTauMnfviPL//Qu57YvSav3lynALrYMKruY1+yNnxgUpR3sCLAbJqUoqEgUqwMTrnA4Fhc + ewJ0SpA0GYSkiiApKL0JdriG0CFB2YLfAgor1oc0VdhGx+mpPVROS3jt6BAzUp8hORDfq/Fi8H16 + hIQYI2nfIMLBcVAoBgGhIx6RVwMS/JDp9W7RLAFA0je4yzKAn+MJT+wt3/+Ojz33L9/1Z7uyx3XH + dQrQL49BIr70xPCR85PB21gPggAulIYlNr9KMiYiMMJaniUyRzVGSsLf+B0BkiVJh1o4TRskWNDU + zi6KEsCRa/ibca2UELTJglOvntTmVeIieFKKUhZDyxGPG6YCQcZ14GAyhHBJEgXYGXuB2IsR2FBF + z3cZ485O7Z9i++yjl+pP/fijO2/8pYvE2wscn6MAaejJabPDUlkI/eL+0EoBCCPpUn8MBfBcdWmS + G6uCJP0l4MNgaeMUetLKjEd4LWSmNT50lNCdHSZa0grkc5WyYQyY8V79RjVa+yMLi5CK+Qt35ILi + AsKLVqxQkxS3ECGjAeJp2IbpzPVXrriDS9vxyvbCnrkS+49fXPr3PXH4wAeu9n/xArKnrz5HAfp2 + B3AbuPqRs3n/9SNnZwucSyuuCgARhMSO1OlgwlayKbKg8YB7pp2fsi6T1RYN5f3kOAifOs28JuVh + ZfX+tdlJFlfspz0BjKeUGNThUUNWeRwAVebpAN4yoKiSBVpWgJpd2vMsv/WsRvVwgPYSuz+2Z7bc + Wca97c5/Zrv1v//s4fve+2z3U2K2Nzpwss89pOD3PnnQvqqq3nJys/r9YYg4O+BGVCsGRYEB5hgX + YDQLoDYEpdWiIlbxhqi0lmoBfgLHwQjJiHuD2CqbO1ZPFkC3T1yAGEfYtJkSwdOaAC03D4Praup7 + LbpQkKlBc3AJ9CZ1wgQ4mTqPbMUEr86th/ZqFbpFKftXY7t7pc9+e7v/N7QPb3q8oAJ0h+qJ/3Gp + eeyuyeh71p17l3ZoqWbDC6QGXNB7MhlL0kyOjRTZAH4ACQoDpD86BGi6izlrrgQrfs3iv0BKitS6 + XrpGRQMXpRUjhNcaZC/FztEyS22HO3vuYGePThCjaOMFL6mnkTrDPE7b6aj3taa4hIEua9rb/eCR + Ty53P300lRu+3FABuuM3tjv7ptv9z5z04TXsDvr2DDGIY4U04EjaY+WlV6OBnRraGpsT0xnEJLk0 + Mgoy0sFL2h5HSCRez2eFgtppqguUwNOWX3oVar706vbIvacEOYoY0n0aDU5Cbija1PFV90dewkyg + vYAk8D8JscqH9EkqsKDJnpgevnevuz7lrSZz/b83VYDS4kcuLmzrttF3bE7KuymH38B/WcgC+T2l + OSzJmhLbMSC3bHJM66XyFHK2rI0TY1gsChAELfXIdxQWYojweNXEqiBTCS3iBLCq94AhaSrzcDWg + tM6Aa3sUEWjIOgodVne4n1Bc+SLhkJrmiXUIODm6nbb7FZ7wosdNFaC7f/7igd03qNr1Iv/6M2X+ + v9kl/zo1R4SBKpfZSID6NWUsIw8XcLFvmE1E9BAbyoYWklJCgenfqezjXpa+aL9jQuVXASX1BOtw + 3Mx7rKqStWNcR++fNVEtezs2p1PhcX+jKa+yhZrXIj4MKq3iIexlRN9N033oqflyhx9e9HhRBTCg + ff+T2/YDtjF9fcy+jq0tH2Jt4fVqQ7MIQk0M8YbkUEhSudF2WtRxuLYGGFZ0Yw7s4OpezPPChpOR + G41hglpbpIDItQMDT1DrvZEStKFSe4GU+AWu1PGyvLbV9Dq1pQWP0BK4bEw6JGpQJFMQZnTz1i9Y + 1Z82IU5n2bs/ech+Aa7Df256SH23dEhTbztT2reeGxSnh/nPD6rwzciF2zOdgtxc8H86clyb/eqb + a+s+L0a29+y2PXvxqvYbuhEK2DixYdUmm5BlbOJ52cwBLWoCpqn9gRmekkFr0yoTQqcdZoRAx07n + DlxIu84EgFiZO+KyodtX166f12FJgqA41L6sR59Z2pf+80vW70pTL3JoKrd0SJO/y9aX375ad2es + +1XXtU9QqH41IT+gL6oNNd5D4fJYAQmkthoP0fIVODZjs9TuZTr07OMJuHHq09G56dhOw6aNFNeO + fVtqZLAzMyG6skDaB6i9gABiw+cF0i3YgdLS/oef2XwWw/5h568edL/MHo0v2mM/7dXaHnzPgX/6 + Y2SLWzlu2QOeP5i09g/4T19vOZ+PX7ZR/gv+x9gbyxD2B2X10dGg+kH2GKxrjw9sEWMRGrDQmq30 + i9mCbddELvbT/l623rLcjsXxVYy6yhBkBqBF6wyp0942XWwgQS3/iYJ9Sr4hFep/kLHIFffoSu0s + m/cc1N23ARUfeLR2P/rfZv1vPq6y8BaPv5ICjscWgbuH3P/lY2dvYHnqHirD24bFm08Mwq9A9YcF + 4UAPQvUT5N27mmbKHFa3oAnbsnujRNiBGirUCOL7FDw0TAg2wFJL4KgEsOzj7GAeZyx41Iveo0eO + HDioLn9qtnzn4/P6HR8jij4MPnzmyOgS6tbsz6OOhfnrvsorXg34f+9tZi8fuzwpCzcAAADBSURB + VAn/c/S1m6W/q8rdyWI42GCrd0Uzq5zCVPav7PrpDvFBD4PyIdLY6Au62/T9WrbJd9T95Nqc3d7s + hCSYDq/uL3avzA/YjLIHQXwGxTy125VPvI99gr8BRlxC2lsV+LPl/Lwo4PkaF/KeZyn97rXK+M9L + dhpOsEVaXGfndwEaJipA8dJzDosSD2AvD90h1uwAVNIiobGE7BxCgfdo1u5xnRrRbHWwbdLnJbLB + 0/j7M/QVtXHjb4+/1cBfTwP/H0IIrJvxaZ6PAAAAAElFTkSuQmCC + + + + + -29.624865 -29.644538 3.000000 + 29.703573 -29.644557 3.000000 + 29.703596 29.683904 3.000000 + 29.703596 29.683904 3.000000 + -29.624857 29.683904 3.000000 + -29.624865 -29.644538 3.000000 + + + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + + + 0.039362 0.019681 3.000000 + + + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 + + + iVBORw0KGgoAAAANSUhEUgAAAEAAAABACAYAAACqaXHeAAAACXBIWXMAAAsTAAALEwEAmpwYAAAd + CElEQVR4Ae2be6xlV13Hf2s/z+u+5t7OTKfT6WNCaSkIaYERIYBgKiE8KgoGIWpMqhHjA0x8xRji + A43RBPhDEKm8/jCKEgkgalALkYdiaJEKtLYUKp1OZ+7c13mf/Vh+vmuf27l32k4HkL/svnefvc/e + a6/1+31/z/Vb+5g9sT2BwP9rBNx3m/vrD7bs+sMtO7oU25EDLTu8kttSy1k3NmtF3lJfWzwrLGHP + Km8ZBKWdlsXd3OossjqNLOu0zeLcpmVl00lp4+2RDc4ObGtzbGe2JjaYJXZy6OyOjYl98szUhtXF + c5VcfNPHb7nWiu3HntS1KKpto6jaS91k5Q9evvbsfDE/HHWSA47dsmipsmqhqOtuVVcdXxbdYmuW + V5vT1PqTNJ7VaWtpIUlX4qROLZpGPora3qd55SyC3Nr5aDPx9XJaVOtFMVuPRumkXJ9N6vuKY/6T + 902iD7zis3X10PTx6VWL/xMAnnM4syetde3nv/eSa64+kLypuxD/cNaxtVGx7Uf1jlm75zoHela3 + kKDN6iiPXJImLnUM73PLV1Nvw45F49K5WWVRKzXr5OYTbw5NibLMYvbaRb6cutrls6hOnPOdzJJl + 5/Opd51p9YLBrPjxozN/7IavTX/74/fPLgqB78gEEp7+rWe27UeeccmB1UNLv9deSG5pLWZx2oPq + NjdTdDEubOKnfmKF95l3USt2UZZYkqbNjlQRrRkCNpi3gt1XVrraakzEC4AksThOLIoBhtujjR0b + ne1bUsbW8qnFo5kHPKtKc+Ox/+bL3vngsZuvWvS//qnTVtQXxoHuv72tA/dvvWnFXvL03ksPHuv+ + Y+dw/kK/mvn4MOJdy8wdSK3G7guB0Utc0kkszRPLs9SlGcwn6De7gzG440i7NEEhEqvZfa7v8z3h + qDaRM+ecBZ64l3Tb+IqWj7qA2AOg5baLljqLrooGr77h4IkPfeHs5zYmF0bg29KATurs/T96dfq8 + p7bf1l6ufjZbSs33sMteZn6h5VwbYnBgkp5HkkmNs6tqi3BixjFsjvtihiNXYcqF73DJv0cnkH7N + HXbnjR3m9cfR4yxrunHYh7QHu+BCEc5dnTrXNz99qJq+4nfvaH/irsEFJfwt+4AWXvnWn7ru4Itv + XP5Ia9WeXXXKOlmMoyiPXRF5XyWl9zhBixNohS4gLmFIxyiCOW6LEagXOzAbccYmxjiBV3Frnujg + 1I+uhItc1nPsPmbnlgDUn75XM3wDdkTcsHShZe261XrWtWsHAGBDXT7WhowufsuTyD74Kyee8tLn + H/xcey16cr2Kiz7YiqJFVDnHO8eiFIkhddlxjVHWdWklUsQDWAH9Bepcxdj8fI/ioNegswuAmEfy + YZdeCAjYVjMYBbAAJsEAXOhQoHnGqCqGmqINM8tbeVRHqV/Jene96x++cceFOKTri9t62OSH3/jU + F7zoqdV/JNnGIZ8N0OsZjgomHcyyS/KYtMzZxZF34IWndy6N8PhxyjXs3gEW3j8o+VyyokCypblJ + qeUWdZR04JuzZlfzWuqgazKVoDsChbZEhUi2YgW0zMy1Snfj9e23XLWWX5DHi9KA46u5feSWq159 + 4snlR4p0M5tE0zLrxTFxGo2uPeAjX6SOFmK/UNRwFgiHyAhbjWE6iTJAyRCk/qIgVMSHCKEbrvSY + QJD9x4IITWr6aO7rM0hdbWlVVxoW38KDSawgWbi6RCBJ5AoAiqezXjT0//X3/7n1ZT37aNsFARD6 + v/+yy+xdP3HlLx4/3rp13KmL/FAWJwvE327mFJ6SBA8P+nJccCUf1fATiBSZCED2DXOx2hP+UsJg + nGEKPFOg6nKCAQOOYjh8ExoP71xSN7olqQfb57scAVdjGEbJnMe9IAsGkt7FFs0qf+Jo91XjM9Nb + t7Zn/TOPEhEeEwAx/7ZXHbWbTyz+XO9Q9Ha/bL51pJvEq11Lei0MPvKJ1Bqk8WMMiuVzCCQFAhsp + CQCUBDIhFNtIYN4RBp0AoPUU/xDUWsyxNfoKE4AjNLwuqH9tYv7cgdsgKw1gbIiwSl89TjVC43gw + kZck73p2z73whtje/b67RwHD0Mn84zEB+MOXXGY/+f1rr125LH53vBL7dK0dOeJtiNUwUsO1QhhK + T9LS5DHKZaS0IWRBAC6yOadNsFfuB6khdewm7A5w5DMbYUvB8eWwQhpE30DDDWkP9qJeAtkwHs7C + UV3DvCehqhivwngEukKvJFKiBdHG9NLeTnH6L+8efb5f7segAXwvJJy/7voFe8P3rT1reS15X7bs + rHVJFjk8vSE9BTEhrYBTIJkZnlnHMoABIXJwSnFDlg2+AgKiYgj05ACzydimw6EV7G5aWgaRKXsk + gnkqMCygYLfZ1acEjYLvo1PfeCZgog+BQPIVZ43P4bbykJLZVZRKx8rXP33lkVH/EVeWcJpvv/no + SqvnPzgpplDB8DWJjSO7k5ggM0hHg4O81FpXpQm6py3YAseQx0B20AgYlHlwaO6LQO7J0XnUOGiA + nqWfBMOqlO2IqTnbqLULsV/Ph32vPjQ0IJcQKkM0AVTpkWtBZoeYktkN11+Spn93kmnnnu0RAJy4 + omcry9mvWlxe4aPKRjRPyOAypLcbsqXoUBYSm0RpqlRQxAZwUCpsQcRAJ/ucYtp7FFQKri3cA40A + i76wiSWcS+AQs9YXEkdUmPO5v+PBIBNu6TaM0Sz0LKAAM2YCFcJhGJY7GSO0IssX0vyZl7vj9sXh + V5vRms99ACy3EvvTVx7qnelv/UzSU67SYS7es7TVsjRFAzT7kcTmfyQxckBAjATmsT0wwHfl7sQ9 + qQZYYe8kRDUJi44hWQJE6ZKgkGYpXgSeRZeYlJgDU8G7c8q4AkpA1kHGdJ9DT4SPVZ+kWeQhyh65 + glDY1S8mMElc5Rbz+GmXJ1fS8LEBePqhtk0mmy+o4no5qjJbSpes21uyJMe2JAnMQRFfXj94/j3e + WWmuorfSGDwlR3yGzpFojcOrydDIV2GM48MgSCMEhADQnzZ9itNGss03fW/cVTC1MINkjKQd7L2u + x2SCBZoC/wjI0FxFkaBMzEnSpTwuD5gdz+srFjJn/Zn6a7Z9GtB2Y3twZ/C0QweW7eDRVesegvk2 + EJLSRuOxm8zGNqln1l5oW77E/J5xigobIQWWJgSNECg4xIaRhjXxJEeGiBtWdb5v47razK9J0rJ9 + fZdpSYzMo3mcSlGEkwOAoHncraHHk/xwnR6mEjpX6Q86AiJoYYQjTw6jm31bvmIlszv3VEv2AfD5 + B0vbqu2Kw0st6126WqdML1ElZwMQ3t620WhgI2ZdzMAt7ynE1QEADwAxACRMUcl0G/Slsth7o7oc + dS6Vh0L97UIgxne33XM5SkUbASDJRzHFkngZB7fIkTyEHMJi+qtGVhU7hEGEUDAbRLPkgGs9KwAA + mvyb6TiUrkZV0kuXDi4h0McCQFWkI9ceW106tFKnzO7qft8mg6HZzsDq0STM0bPFLokWtif9gtLG + w8MFX+XNlYqKkRqGscbACDeC2jtNcKTyEtMu49IKfdcFaQba09xuHKvMKUpy1B2p43Dlh6oAIiPA + ZEXYo3jIY+yYmMM/aApObYke5SvIDVq0XQaZrXLh8MI+mQeDZfBmu/bKBbvq2mu6q6v4e1Rrut23 + 0ZmzZv2RxfCQXHrA8pVlSzod6VlAWDm+iIZ3/BtMV1NYxOGJIYUu0JAsZeu0CmEvPCxbVSeB2znz + +BHESVskvOtPpFIwT1oHFsz20LaSCFkyVhMi6T/MNRr/IydMUU2+l37QHgkqA/JOFdXr085aW2Cf + 2/bBcc1lPWvFcR5XdECIiXEy+fKiuS62J0QvWbLoQFeTjZDDS+wxEggShx9pgM6DqjN8kDafDQAN + CIFhSJRWBCvH1pVPhPlfAEsg6NndflBv9U0Yhm0yGzRR2M21T18iqb4cR9AggABU9ahetMdoRToF + jEHZWcCE9277ANjemVrUHyZVkdiMHhOqO52DqCCoS/X8AqXqbmoFal5SuyNhoWpFhGBwKsFMROaz + QkYgKvCAKN0PwG7oC75BGqAdsEOxhNNQHgmU61n5DTYRXSnUKuFpWIuFNOfaHGFQu7ilKMFVgR1u + 8IF/gvlkSBlrfdpaoGawd9sHwO339G143+kJlR3rg/giJrF41YK5DkwmnslmQV1+wkBIPsnRMOYE + DNcUJ3QZa1a4FBcwL8lr0zGEO6k713clL+Iawal5075xlNxRfUGmxJG5HgDNs73QpVhswHgYhF2u + FCLBTql1TTisNd4Q2M5SlHlgK1+lv73bPgBObhX29o/+z1/99HV20xYIR93ady/vNsiiATOczIRc + Ps3bJEgdmMLeJKigehwhDvV7mPmGcTEvqs/fdy9xl1uyZxErjMSBd2K+4CkkqxAr81BDhQj5B2mD + kn3JW34IM8Llcw5YokxWpjHV7wgN2a5ssjnMVyi4qmiyu+0DYJFQesuR6ctzn9ji2pJ1sPeI2jsi + MD8jF6C/jHuJBi4JNpJ4MFDGDkfQmEt+l3mR0ewaUiShnBApwoPDE+F4LPEWtIPnhUKIDGSTIcHi + 2oxkSgBItYM26QG1DWBIkxrvH3QNqZB8hbwsmAIAFkyINt2IYg6P7Nn2AfD64207UI06jupu+6o1 + 5v+LLqbwoVSznsy8Yn0ISQQPIbyr1qIdLgJBQUoPM90w39xXGxgV8wIQqUUKXcGMkLhXKUvqGTrG + lmVmCoERHl81PzJIOpJ1Kf8IESXAqXF5jGsSRgBAzljgUDGSOZZEkIrA1b3mQHappH9bX8SEbR8A + N661cHKTIjtCrD/WNb8Y25RMz5XsCIAaAFVf0iAk0+TtjcqKaf0FiQRuA0UMsHsU43xTSIJ0Me+M + 2E52FxY7bCZauS+7FxN6stEUR5ShqogVZCH0FQWaiFnMPQJauetrEE5QD8ySmST9kQ4oGLJRz0wP + dm3xwLFsZVm9fzFc1sc+AC5B8uXKwqR1mJnQITx+C7niNWM8fozteRxflecQjfoGKhlc+XDYuKBr + zUdzaf4Zmso1BHIEAgCE4igAMKEJtqzJC5qmCq9g0DMKZJK1FlAoeaGFxVwTCgChFeHvnAmqfbPr + 2Sr4YYFK+sAyW6oINh2lKQuoe7d9ALBcZ9liu4xYoUHzVPNzU8XYQByeVOmumGekGjULsy6p2gU2 + 2qH1PDhnSUf9yZdJdgQo+ldVlzsyjQrTkBsXwoxRF2R3RLAYbclyapFMU0MVSetg1Zj27IogNA9C + 0aMIPtZaKp1SKuQ6BjMrfVUUWUJI37vtA2AmuJJkRj3NRWMkm9SWY4sVaKiAuTvZCRMV1DVEfcIe + yyIw2Pyrc84afsO55NhIVCwrjofnAwiSH4SiBVJxXyOlCg3DJFglJfkh6YFBZZNwTzGVCVGLpfKS + 7JBFv7rY5vlNxiJSCFEcpWZoAQCSH+QJmIxXUHydFjYbjVNPRNi77QNghxUmfMQkGuAotkhpxyOL + Di4HydfKw1kVkvQphTOeUG+k2WA699BqwAUdBEU4hDNdVkuB0Dgp2b2kFqmMhUOMfadhumY5S/E1 + 2DdrD1h84VvhOU2EnGGilL9Czl8PeYZOACRMwGFYY2oKzuQI98WyLIuu03FhLS1IT3dNlkZs+wDY + mkDilB638bidiQ1ZZFtYWeBNBhjRQqX8F+QEZxcYCbw2VZt5uUjSDhTMDzhMNFZMCyCRxo3g7KRB + RBdNkIjfxowvxrx83OYavdRMbRUeGacmE6yIAgRDdqpTSnYEFqCUmA18GikDZkL/mv0xnjQBlbcJ + QixmqkWWtryUZVnJ5G7Ptg+AkyMci28NKl6xSNaHNrBt6zAREraONzRU3q6RTGBG8Vsmw1jaxFpg + fs5mEK14nd8M9qlzmFM7yqg8y+QGFa9rprvqx2nWh2OkUw/D3pG2SqUV4zU2DFGkszJhaVzJkpep + iAzuUXCZzZ2iym66RoS1uI0DJQq4lA6W42RxC+Hu2fYBcDc3q2ppu0BdKs+rDMnEJv0xHhhPSi2/ + hkopEDVLVLZBugk0YlPcQs3DnOrrLgIChz9JNgyuTwEgG4fdkgkWmR/k8q/CB6NEMI8PCIv+IQli + PEJybUM0jnEi+YZJcJgR7Utst0DdK4Vt/JWmzzkF3kT9YWJxwfMLLFK3RoGC3Y99AHyZEDGd2lmm + zxBWWZdFEJb+TPUGTCMAoJKUVDX4PUAVz0ECZF5SdzHKlfCn4+7WXA8wcLnRIi1/q2VV4dkZJIRX + CEb3eQxwPB4eQTgkHUvbZDqAEmqLYRouSasaREQiUkSYA+XkkFAxd2L+Qjd4/bDOAP9UMZIZGe3e + bR8A9/exmYk/3RPCRe0Xjyyp4kAiJDoYTIVOJUFwHbzrbriCuMCqiBT/+hbOG4DUfq4D4Zb0gLiC + lsGoQl1JZSdC2rGYUVvG4c0PvRjFepRcD5ggBfaQLCkyoF2aHUIJJOEmqf3pLRLKnzhM+mB2WoIG + U21XADTvJNkCZcPZ8AImMITRU6PyawuoOO9xYKZ4VBVb2clU0Qb5AJhj3127D/k5gzShreFbhtlA + IokHaOBAoMBw0BEFP0URaUJAF8kOiGZbQaMUijweiJUT1FfmQFuNC1/y+FDB3vQruEJaLCcCk4oM + +mOqEuYqok9hXT4EZKLivPXBfRpAX/aZ07OvXd5JK9a4YzJgSyY8mUvFIJ3vjpjqQFzJiwgh1Q6V + YgEgAsWapL9rCkqEmtqASBa52gUE3zhtrmDvfgfmxApqLx/gNO3mPFY0kNryjHoINodOCEw2gah7 + Vc0bCHKCmIk0S+SonCkTFngR/hJU6vGOvNi57REAfOnsZPryo+ldOL2n1GgAlRHlJOZHRG00Q8ka + 9XF6x95VJ5MqzgcUgYGjef8Sui4EEOgm1AK5NreI0BT/ThsG4G2yMCGqt+mba8y2NCWW/QmkYAah + bRiS9rRB4uKUMAn+jfQ13WDdwCsDlEeKKCA6eKik3uWkGqxfwAmK3C+ewfNXC7ct+PgpVEDCXNpj + DdI8AcACPz3TUGlWkKI44qYEIcKFCbc44zJqKOrDJg3g6vxeOOFLcxviPPFZUSF4eICmL4GtBk0Y + xPHCXaMBDAIAYR4iRyrJSxD64yj/pOJoXLJ2RBQ1wnut3GY8HJ85+TgA3Hl2intK/iadVG9IlDYO + SFZilMq1eAuBztAZXvMKgyiTQ8MDeyIsaC42KPNQqAyhQo6SJiIuiF5g6Ql9CB122alADOjpNMAi + aABijqBsWFKeX+UxWmlODrXB6oME1AYAFCfl6xCg3MjsLDnC6aHl09FgY09JnBb7M0FdkK/57MnJ + P199MLo3GVXHqwx0mRN4MkG9AuMoxkVdiiSNrmG3eqoRvpiQZ8Bf0E7KLS/BJqoFkA67vM6vh3Cq + JuJ/d9ND+i5bAYAAGQ+KOW0N84yl8WgCdTKz4I9COkFa7PQqwJgQ2KeWcGpsxSmcajl9aGN9vw+Q + aT1i+/C9W9apk3fEY9z+AO8xrRyvucVGtbDYGoWcQOvuUtegkihKTb4tBxmctsYIEjtHtFAI9MOU + hCXzbTSCPqT2Aohr6k+qrpjOm05h94Rk1htIG3jFDHsnD5CHB2SAR9PilFdumLmqbpiQtalqlTGr + jIdUILYrn1P/6AxovG33fOOsBj63PSoAtz00sc2J/RnZ6GZN2PDMDDWLqqkaV9usEg1IRqgRiHAs + TSLgO4o4hbApRVFwcyUXpU76lyTDjlZwDLvoCPucea6HPx3ZGxAaAOTNG3DoBlKCbsn2go9RW3ZA + J40g4wOQGe0JIDZgAGqBCcJvjWG1b5++a6vRol0I5GYesYkutGd6w2ruWW7+AcmFNy7IMMjW6Gd7 + 0rfFw6w2ourNQgV2WPC+yAzBaIdgvRCher9KW8gpaHR4WOEz2DVEclRdUFuj1jrROdd1GoAIl3gU + xxba6xbPwAcCYP0GdUdIblD4uM+saB0BPdi32QPbqH7fladHrjw7UkEUbZ7+2hu/UpzWpHd3e0QY + 3L3xzvu27fq19K0/1OvcEo2K444CRJLzRkeS2QM7D7EOeaXpjVCniQb2LkZq5twl84gol9hZWMHF + 1Kr5kY4qIcVI5UNCXJZ3kAnJsfFwUBBEGRgPNiLtkZ3QJgkpNlNisjC9nRLMi3vSOk+ViKqNuR1e + t2I66zdHbrbBQu4GptpHSwkujlnueFx+/F9O2Z3npQEhoO3yvO8okD72wKgG0A+99FD3jdGk5NV9 + tA+HWKBrWY/5OG9epIttBEPE1ZIzA2oqrTJ0xesvqA9vfjOPnycAYhoWNA4c88Eu/sEFbdFFvuiC + nAUaXkwow7MmWZG/ey3EsOCXVrFLiesRoS0ZoXU4uWgTENaZGK3PIn96bPGAQDXlReqiba2KyZAl + 4x0fv+Z1t0/PDMLw51h9VBM4d9vsmqWs/6IDvcmyi24S+YRElxIFhkxKEphPl/T7ALRAIYeQ6Xeo + vAyYSY5xnmhL1MqVCQbJSg80/w8eW2zDq/YAgHR+N9TBv65PYX7CynSNVhl+KCFhjHlrJ/wiYocJ + Eiofa3pL6PbrvEfPjyU8CxoRK1uJa1tStdGX9izP26/5na8O/vUT54VA8fm4AHyFAZ6xuvDpw4vd + HV51f+7YF1mh+IODzfhlR9KmkoxeMzFhZISnHETJCaVsVXU8hYgIdaZsxj0ED1haUNVrbDwBCMGX + NVjwVX4ivFgpE6KLBM3Cvs3z6xC3QYF2Q0fUe4NpMXu9NXHVxszKTabEOxTTxomhFLbBbHa98Kdn + SfTaeybFx/7oS1u2PjlP/BcDgFD68Dd3bBCnn3vvPdt//LzLu3oz4oTkmvLSI/pdV3HqqxTj1ltk + 1DR0kd+3WN0namwOwyQqy3IsRS9QCwC8AyFOUcKzyltBbAFYIo8sIkSAUNRkbpBIs/hZTLk+dJ40 + 1mOTNQBU20PeWaA40p+6aofJzyCxwTCp+0Xmvj6e1vfPxp86WRQ33Xr3zu2//Jl1U7Hn0bbHdIJ7 + G0tT33Hng7o025gVb3r/i1c/ysvx74senB2Jq36UIM2y7tWGaVDf83lWkX5Pg/pONkfeYatacY6G + rDcsUpzAeTLdbKa+PEv9jokKOT+LmJpxh5ecBIS8Pb8USXKmxOg/L0xRI6EkTrhloYeaCQUSKrkx + lQ4WMN0AHbxnMB1+Zn34Cx+4t//n9xP+Hm97XBM4v4P70a8vrVf3vfLIynt4U/6y8fbwafgtTR5D + IlLxOxeFwngICGcGfkppjdUVlmdkm8hXO3kCsVsKH4JCOUKF8RsRzjMe0ZkKl3h4LWsrCoRiDKFu + Nix9iST5EQrvaaM91C8q/A6vq7tpsmSfOjP+wJvvOPXCv/766N+V+l/M9i0DoE7vY+D33tOfLGXt + vz3YSv5iY1r7U4PxZRQolxIqE4nmYtTREyoT/CKMvAA7IVOseeeuGvFjmMHMVWSYcmaO8Oy34YiF + 2ZjEJR7iN7hew2ilne8110NqS3rrxvj0KdOdiZxou87aq9FGmZ958+1nnv+bXzj5J6fGmsRf/IYQ + vrNthTzgB6/I7eqDZtc/aeV7rrxq9cWXXtJ9znIrPZFsbl5up89atUNlFimHeIgT9HpfmJevOgtd + a3f1tgmhtdCvPmCWDE+zcJ9GrlR+kRD25AumpH1oCL/Ccnh/+izt7Pbo1Kn+9D2/cW/15n9an12k + zPfz+x0DsL+75ps6XWxHdmwpjm4+nh/5pevS5y7U1eXxbHqYIuelUZYeKijQFoVf5EWLBYoYvJji + k14X59Bj12Rey0MU+zCegjfhp7z0M2KJeMPG9UkbRV8tBv5j/3Z6eNtb7h74j6/LS31723cFgPNJ + uXEttusWIjuaV7bMjJL1FSJB8INMXihbc84PLLBlGCE7qgiFM2KqUlYtUI1V18d3bGP32+T5D42c + /Te/17j/vPLW+eM+8f0JBJ5A4HER+F+jQXEp/EdbyQAAAABJRU5ErkJggg== + + + + + -29.624865 -29.644538 2.000000 + 29.703573 -29.644557 2.000000 + 29.703596 29.683904 2.000000 + 29.703596 29.683904 2.000000 + -29.624857 29.683904 2.000000 + -29.624865 -29.644538 2.000000 + + + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + + + 0.039362 0.019681 2.000000 + + + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 + + + iVBORw0KGgoAAAANSUhEUgAAAEAAAABACAYAAACqaXHeAAAACXBIWXMAAAsTAAALEwEAmpwYAAAa + pElEQVR4Ae2becxmV13Hz7nLsz/vvsx0ZjrTaacL3UhbCoUGBEQDgiwiUQmphohiVGKExJgYjNEE + A7hFI4nESKIiooZUZEdJCxQotbQToMu0nXam76zv+ux3O36+575v25l33vbttMof9rw9c+9z77n3 + nt/3t//OqTEvtBcQ+H+NQPijpt5uYwI3Hdhp3nDT1ebyPXNmvB6Z7mBkBkm+jSefeUj0zEOevxEi + 9uL5MXPzZXvM3h2TZq5VNc5lZrU/MCfX+mZ1lJrl3sgcPb5olpY61hRmYl+7cvON+2bff8mFO/aE + gV3rXDhz8PH9s7c+enrps3c9ujI4dGzlOU1wOwx4Th/QwzXk7IJ2bF5/5YXmmkv2TuzZMb1/fnJs + R7MWzIZBPp8W+cwwS2dSa6cSZ+ezLNs97A93LC+vhIPewI632mZifBywTDEcpm6lPwhPdPora5l5 + 820/XLjta9975Lzn+LwDEPDGy+da5oYLp8wVF0xePT/Retlku3n9RLv14ma1cqAah5P1WmSrldBU + YmNCwCmscYV1Ji0Kk3GWpqnL8sykeZEGQaAhockKZ5D6NDOunxm7NMwPn1gbXvboqU76oX+5g7Hu + vEB4XlXgRoh+z2uvmbt419QHJsZr76pW3LwNMqZv8xiKbRQaCzlxaA2/jD4uwCDS8o/JchSicEWe + 10wOGM65OM+KIoD1Li1skeYmSTJTcbyq6i4q8uyfVleKX9g7URsdWhz86ABoxIF550v3h6+/dv9v + X75r+oPjY1EjquUuqhvjqgE6EIdFJXYj6EwNqm19tzlMdRDtrLHWMs7xb25skRSmGHEXrtogCgOY + G8TOZKMELJ0NXWgatigmqvat0zX7nzfuaf3UQ4uDlfORgecsAZfvaJt3vvzSnVfumvn03qnWK9oV + 42KbFVHkgqgamrBdNaZZMXkttmEcmkTMBoAQrgYQSEcGpImBCQoOCEyUFsYOrRXXHWAgDlwXMjlS + 4/yzcYTYICnJqP3yay7e+cUfnh7dfPfRtfTZisFzcoOvv2qXed8bX/raq/fOf2nPTPuKds24MEht + HiQ2QfRtPTZBq+aCVsMGzZqxrZoJm1UTNiomqsUmpAe1yFiOspSuYhF644IYiUBbAlgfWKlCClzQ + ZnNExJlI6oPKxEHIecj4+s7ZyfbEnQ8e+0LyLG3BeQEQwcV3v/oq85abDvzqnvnmJ6cnK+16wyLy + 1oXN0NomhLXrJhxruXisBQgAUK9CbMWElchE8ZNdUhGgQjak896Qx+GthTZ+03Xkg/4IKFbEMzam + B6gQmmCjSiUI48pVUTH6yL2PrUiOtt2eNQAi/r2ve7F566uv/Z29O9t/2q7nQbUOVxrWRu3IhuNw + eqzmbLvpovG2BQRPvK2iExAaRIEJYWGEQdR5EEXYP28EvDEUAJ5wrqEVMqDG6jlZTv6TtgiAiEEu + y1yeZK5SiW0UVypFPvzvldXl+46uoEfbbM8KgCof/rUfu9K85tp9H9k70/q9sWqONU/zRjMOqmMV + V5tp2trcmKlMN204UTfxRNMG2ICwGZmwDhcr0BQh1rFYDSV0DDoyXxIL60tJ4Jo4DefLI9+Vu8gN + LgLCoigCk9AU2IYc20DDLEpI3M/Ntyrp9x9bub2zzUhx2wB4zv/Yi8wbrj/wx7snax9ohKmpBamp + xjC2ERWViZqpzbYMANgI4sOxqrWtirENdLwKMSI+dog2Rk8GH4IK3+GkfmMY5QqlBl4dINqDsE68 + LIFIlcsIwwgViLCJucnzzKIGASemgeUdrzdfM10xX7nj4cUj2zEH2wbglpsOmJ+4as/7L5ys/n67 + ktvYjGBgakLcU1BDbOuIKZy2rQhOQ0QsDorLcmXiW479hodWXcGPXCHEr3fJvRRfUiAgSlB4DKMn + sFwgB8gJxxAJCBif57ktMgCA+IAgAsVzde6jbTebIvnYvQu9Z7QH2wLgLdfuNe942eW37J2q/dVY + jH83IxiWIHO8H2INxJsGyquONfc6i/h678ZtEY+wwjxnJcSeeMQAh+Y7VJfc9yAIFDjNU4xnLABw + He/vLSJxAfhwzgCINzmhoeUY0mvoSQ0AMAkzc5PVldsfXPxWZ/j09uAZAbh294x5x0sP3LRjvPZv + 4xhyPFkQIdkGkUYHjEPEo8mGqc60pfNYfwZg8ZkF3Mdr+8kjGV4cOPr4j3tWIQgAuEDhj0hnDJ2r + 0gYD4f6E89IqllqOAvCnf8EA/S8AgPjYWSSgGseETfKjzgyz/IZ2o/7Xt99/MmHolu1pAZhq1c2v + vO662fnx2lcbcTHeQq2rJHCB5zoENDnByNVmx01jbtKEAGDqFWdj0EEH8A0wSoRCMD1wIKeOQQAQ + T4aIF9nlH49tTNUTLuK5A/fVERPu40W4hmbJCxiXpB4IRY2BLCwAKJ8YjrJGYWuDbx86eVuXLHOr + 9rQAvO36i+yORnjreM1eO9GKbbNOAkNoCw0mq0DOTNs2d8544uPJtnG1yGUEroWCNIk4XN/guHVI + he815iJA+DR8F8lP/G3I/sZsPfEgIdsgACQtAkCGUCFAmpocAAyxAAE14yJCJXIKgElGGQyovjgd + Fn9x95HTW+qBZnDOdul828zV3HvdsPOaoEjI3PgwGZyj59XIFM3YVmbGTXv3vKnMTRnXIhioEPIy + 6bRQUsO8xCURKsQKEoOixazH6Do2SmnAohj1Yr0TCuLoAIXfItobRn8ktkb/feTH/SjA/RBLMB9L + cEU0afNKYFN+5ggVEaIZr1Ymrtszc8uWRPIl3ry5YWvNG140P7Nvuv2ZvXMTtbnpdjE5Wbf1VsXF + rdhUJxqmMTtumzumTGWqXSb8fDjD1OGu4LzkV3rNBE1MUE9w5Or0Jr3BCBEJ3TJw/InYDenwY2Uf + /Ds0CiRlIeQWGMlwL1sWp+hwgS5HAhQdcF3JVU7GqKzRR84EGTx34T0PHf7YqQFjztHOCcDuiap5 + +d7Jj168Y+rmSy6YNTNTTVuXsWtAUCN2rfnxoAXxNRFPolMgjylZDJLJDDX30px5AKQGHgARz3jT + ZK6yDU8CIJUQQME6QLIPnlYUCX7qjf6l/gOgi7xzJbeuSJF+vABvc0gdNsFm6LtLeDsHsJMkzFeL + 3idSG64cWd6cMvOlze263ZP1vXPTv3jBZNtNYehC3F1O6Wo4ym2l1TB11CEm1ufE5BCfkbD4/B2O + lsRryvwhDv6IiFtDVgj3BYAhhvC8552+yiGxBwB1L/pFjweZvUlwgyPG65w/2UssvI8gxXThhB0O + eR7lII1GGnzMwQF3qApDs1KEl+2evrE53jq8uDow950+E4RN6lHHf79k3/w1u2en/3y23Xo7jIcJ + CYUIanb9VaZE8MFHlek5gKB2wx8RGZMsVMSgS/nFJQV1XPSGi8EQIhAg1IhYUkffdQ3DKHC8BLTW + z3E3sgMbos9TvoEBLySKBEIPAHJG7OGzSzkeWUTJIf9U4V0V5h3YNfWpi6bqF10zJ/DPbJsA2DvV + MLMTY9+fn2gvNKLggWI0RKcS0+93TZIlptZumNbEmDISH6pK373Ac/QMgmavrX4SgIHhlizqXzUJ + r9PMvdjLMwgQQBCx3NOIsnPwv/U2PIp/K+fwVcQpWpQRDDHIyhm8vHM9VAhNmhhQWYmIRmuk2/Vq + XLQox9UUnJ3V9NUz2iXzE2ZqrNltVip/GRTDf04GA1PUnEnSEfpfNa2xpquNocuiVsQilzJ8Ejiv + m7pMk16KEImy12MZLemzT2c0ojR8PARGpX8vw2XZEimwxupFIk6wifMCm8sKlyVXYgJpENUjVFT2 + AmeJ9S+UJ8P5iHyBAoUJhql88lxPrumstgmAi3YS1FC0xMv8XVQUP6NapAKP8fExE8+Pm1oDjun7 + +HvNB3aWEsBFrJPo8U1iqolLfz3h+AiLzstXlOTI/koC9BJNjLH+nu5L7+W6eVYvEtcZVXLfo+Lf + THDN89gHfZfJkBzhGOA8Ew4Izy050mhY+IJpkuRjJzp675ltEwBTCmUD8x6yrHeRbGh0ofx9amrC + NnbMEAjJp3NdvpIJKAARV8BZU/S9dG/lh+QYJQEW4gycJWzjhj6r8bILAkDXmJxNIGwIYPR1M17e + BwCh7cGQJDAF/22Ix+bILuo+vJLJIWYJbRzHhiDIjLLU9QiKOoO0cayzOSreBEBnpSf6rqbCYtK0 + b+IqxSdi+7BRxX1j9BDpANcTMygXE0G/1M8N8jkyOSGheSlTlyHznORKyW09tv5phbka6RVJIPQ9 + AAKjdIH+LXoTv/WNUiF0VZ/RUZGfo1psgxh33TJRwriRojESxVFgur3MLHWG8akBDDirSbbOaA8f + Xzar3cECn3c96s5Odbs6XCfxT5kpwQ68pETt8AZP6DPIizQILaSTEOc84RDpj5p42Uq10G9JgOxA + KQVe53F5zsoFCoRSDUj2GQuZT77Cv0hQ+o44kAdhowi+yQNq9TaGsGbSJHQpxKcUV1c7qXl8eWC7 + KrCe1TZJwJcePGX2TD945yRVnQm51yoTVKeKYwk7A8JOlbKYP5MUOfxJDdS5qODnqdzxAPjBIkP6 + KuOmERJ9L0J+vHTei37Q4x6c0ruJbmRmSxvg38o9voMksJYAG2TbiEniqqmoAKtCA0EQmaBZQ/wH + g8ychutH14bme8eXj0tFzm6bJKAPSv9x72O3Pbq4mHdzZIsCpo1JP7ADYUzNT/G4rK938qUuSh/1 + com5j/1FmOe8CPRIcRRAsinSd3WdlzPyauFthCQAt2sGkD7iJqCQ5ul+qTqlNKiGqKqQ1oxUH6hU + aqZRb1IlIhOkpE7AZrro/VI/caf6iTneG5iHlvqP8cFNbZMEaMSRNTNaXu3dWUy3bpTkVXGofJC4 + h0qMeCK75gnmpuem5ODMJnX1Y/xliJUBxMB5QPw1ESMQeIXXd0CRsdSb9KCOfOsMyfc/ymu+bEaS + 5b3fKFVx1PS7Pdtd7phhT2sIOGBqBSPceEqEuNTPHvEfO+ufTRKg+4BoTi52/pX5UXdS6oKYEXAG + +ESnCgtSIkmWeop+cX5joqVmco/3CAQElSMGyut0n4td6Opwo8Oxy29dAxgPkAj3T64/L3EXKGd1 + Zi0ViKjMRBE1OUSfxVTXW10z3eVlk0F0JPeIAOfDYRHizQaJOy3azm7nBECDHji6/KmMymoBsoqx + i8Eo6C2t2eVjp9yow6QxeCgFzJKWqmMfmHuptxL39Q43n3Rzg5JoT/w6CIFAkGRo0UwEY0eohpYw + bEiJJEVdYApxxQceLGKimNw/Md21NZsNh+SeZZVOK08h4zDHRGrEB6yu8IJNbUsADp/uHaGo8O2M + uns+TE3SHZjuqWUDAGa40sXF8GaIF8f8pNdtlddV6S3i4bu45yVAbo1EBCsvKXhCEiQNXgpKwweU + vJEuI+PFi/d4G1BKgUCQwsnf86Afm5ABDnp9ltKoC2KfVL4nBpQ59sXnKrZr/2Ttkk3Uc2FLAE70 + UjNMkj/QHHJ0IsOapt2RyTpDm3bgGLs0VJMruabJiCvoi/w5YkuOWHaIp2BHFwDrXbbgKUCUwJRu + T8TpbSIOFHzX77J70stfEjfuCx5KYXgCjKIXQT8PriI9rErX6jUzOTlhXnv1/n1NebOz2pYALI0K + szYYfm6UFX+TEUPnqIP037I47wapdT2stdJPEELs8QLeKDAbFJK+AQDQMXnF9hvWX5xWwCOxR5VM + 6ffLFFljJfYiWNAqD1DXua6VAGwkVtg5v8KshZJarYbDkvcRALwDfFgxiuIa+QubK6ZnZ07IfZ7d + zukFNEgSdvDoinnJgQuaSVJQsKJinyl4hT7AKRC7YoSmVSj+a87ivK/dabLlRDWJsumkJKSs7Eif + BYSul4PKZ54kszwrnwPN9TyIqwIcjEVjyq6IAvVUyC7itOaoXRcF9qjsbC5AS9iBwtab/qHuEAk8 + q20JgMbdcXjR/OwwnaoRBTZYqo0KZRnoVsYkVHTEPlANYXb8lg3w9Eio+O2N1sbXdEMkcY+xG+5P + l/SrbP5h6OPox+iedPzJu+IK7g3ikRMEKkMiswHzwO/LE4QwICAHyNF+qqOKhF2S58Faf3Dq4OGF + xfVXnXF4WgDuXVgzj5xce4AVm5/cFbeIh6RnsADEE1TAaiWogV5plgDj1VL/iCzQKInbIHFDkDeI + 0hh6+d/6pHSPv3XjqnwTefO67a8LW4HNM7Lw2kwRylMpRs+RMIRKnovKmEny0I6yLOjgIVZ6/c/d + d/Sc9IslWzftu7n17sMfPrbYk6RBE5UXihBsYiHYGLgcwyi7xpYAlqaYojcDjOO1pag/VYcFzAY/ + y28Kkicv6ZdMGlRBXEiWF/K+EE6HEKddIQHpLSVfvkc+iQmJB9SMemQefbKQIVJB+JsMmNuQDhD9 + JLerg8QcXVr7k2Orsjmb29NKgIZ/7f6Tj79s3/wHds1MfNQnhqx7ZEniCsLLcNC0MR8WEY41CfDx + VSI9p7hAjbt0/au2IRWc+kv89jdlREoAiCn8RSpviDtEA4KTnuNxMnQ4pXuRlx2C4BxvlXd6Nl0j + wEIqM4I08DGULw1YmF5afPmeI8v3cumc7RkBYDeWOfjY6T+7Ys/8/naj8hsR5X9SL1P0hrbaYzKU + m1UT8qm9EnOd+2QJOUAdZL1Eo6dTsuspfkIaEPby3Bc2BILuw3WlnizxIN5YDLlgiBtC5Ij9hMUI + byEqNQ6CM5iR0gONRyMyInambcj+HHsPP3j/ArHGFu0ZAdBz33jktHnl1YPfmp6pvbLu3LURm/nS + bFQkzYGptgasFGs9ENUgnNX2NicAcEkBFlk7QDz5MttqT9DugYHuslKg0ppF5fyKSipxJsXtUoyl + iFGweVI9XeuZjIAMBd8IDKV2rMSwOKp6ANZY/mpIibqbuODU2uBzd9x3/I5Ee4y2aNsC4PDK0BxZ + 7uUXFdMH+6m7ppHj5yE0Wx25UbNHvCHJZ32AeWWUyQsBAOFsByjDZYj2EuD9JVKgwiUTwnFivElq + GaeO2Ua8GYloZ4j2YKln+osS7RHxB9KGFLg+5xDkq0Dy1fzHs76qiCXweA6pDax0c3d6dfC733nw + xBakl5e3BYDw++LBw2bfrrH7qhUKDiz9VSk+F4oWV3uqwSnsNAUbIbRIkjA7lqfkp02FLsOJhyJ8 + zQjhybD4HbGG7WcvkZdrQ2fZC+UBSLuI/BpFWIxcvcCv43Vyvw7I+wHJrw3KfPNd6AdEvuVCN8xd + sILRO9Fz7tha+g+3/+Dovck5CqEl6eW/paV66pUtztmVaS6bbxyfnxz/zSbk1iWycrfAr9RFy6Ba + E1BQouQkIVTW2r1EWr4bXIgmE4xU4vWcZA51FwfRe0Ur6C+LDog+z/Mt9Qg9r1NdCgHGp6gaw3jq + EgLQAylJy6lRDPDPq7i9k+w7PtYZDQ6f7r75M9881FGt4unatgGQtBVZvnTF7plXteJ4X7taJQRH + CrBY4n6gBRG/nw/BVsqM4TJ9OlIS8TPGhWG9Wbrih0SKyEmc17n8viq4pdEDAIgYdXtKZbH8QySJ + HAT9UhnREs+HdAo0IM9qMMo0AGSsPdzP8tVRERxfGf7h57/zyGcfR4WeqW1LBTZecufhZfPGk2sf + nq/VX520yLqk9BCryJ+anItGFSvDp1gpRhIyXJdoLXoyalIPzpUnkAqw4MzWGhY2VGKj2CqbgALw + B4BKpthnOMqpDSL6/DABCyCq+Sn9FVhsouQdfINzAaCdIEMWAPupPfToyc6H7sFwb6dtWwL0MjGs + 0x0cumLH5JvG280LKHbkscvW2O35cUT8BpK+QMWSQm6rP3IZEsCiBWkqZFGrS3HMmUQcvz7kviRA + iUwZOPF+pEj67vyCp+r7qDmpRsz+QiU1Ebsz1P0WFSrAEE9exifwu70sMCuY/5Org7d/4svff6hD + PXA77VlJgF74nSPL5nXHln59fm7867jbH0S5exuLMIdg04WUoH5aqS92wKV0TJ7PETKIT/pE5uwf + 0P6ChOgmjZGNbIzcna3PbBcrCKQgHxUHFOk4S3AkWn4HCGIDOiQ4GfsSiAQVL2YY2iGCQxGfMmpo + ukXxldWh++S/f+vhrx0/xyrwVmA8awD0or//7sPfuviinZdWo/pCpV5hHhJp949xULwJUcYuYNWU + IlG1AAfEFZc5YleZCqoQ5xTEk0Joc0Ncr3OKl4DL7CzBHnBfCqGCpwBTcAB2ivdl0P1iB4B2+5lb + wlMsrfXtSmf0tyeXe7/8zXsfKb5+8OhWtJ7z+rNSgY03rCJ3tThY3jU9ltURTUhRhWaBWOf9HDEB + 4qbXaRZu4CuLqy5lV1mWEq0hmhkujs0NNbaFVvGPkOoNYYErJLwoXaLcIkFNkUd4Dzbk9TF2ndx0 + Vvm/SlZG5sRS3y0sdh587MTq+x49sfxHn7/jfveV7z68McVtH89LAvT2T3/7QTPOLrHh/nkzw3Ja + uxouj9fiexpReF0VFxnI36PjAXv5yNAoHqUFBs9vEhWPvblb6ZoehrK/iuNmzTGnqOGqBBNknbIL + OV4lwbj1ukk26iZhZ3VoV5Z7y4urvVtPrvU+8cMjp//ruw8cM4eoW6QSj/NoMr7n3bBe5o1X7zSv + uvwCMzvWMPPjrV+aqFc+3mQ1rYoMqBobsIUlTQZowaioN+KwiqjDbl8tYDcX6xjOjajsjipV55rN + sKixSYKFDmVWLGiaQT/Jut3hXcsr/a8uLa594e4Hjn3jnodPFg8fX8Pqnx/RTyX4OQGw8aJZtsS+ + Yv+0uR5p2DE59ubpRv3jY5V4ph4GWYv1akhBnhPcGIDgLpTwKWTQ//nVoV69SnK1kpK65sWgW9j7 + 8iC8n8j+rtVucsehhZW77ntsebiw2Dd9FT6e5/a8ALAxJ22duXL3lHnZxTtbl87NvHu62fz5iVrt + hkqQoe3oPcUDglYsvYpJ5u5R4j6/tDq46+Ra5/GF5bWFhdXu44eWBu5oh8VMkqHngcEbU9vy+LwC + 8NSvUDswuydb5sDseOPy3bM3Xbpr+scbFXsZhdqZLEkXuv3RLd1+MuqQ4Bx8fMnceWSJGF7F0//b + 9r8GwNlkqFJWx6+PsdTO/0Lk/b2KlKrYqPL0QnsBgRcQ+JEg8D99DIHmXmLiEgAAAABJRU5ErkJg + gg== + + + + + -29.624865 -29.644538 1.000000 + 29.703573 -29.644557 1.000000 + 29.703596 29.683904 1.000000 + 29.703596 29.683904 1.000000 + -29.624857 29.683904 1.000000 + -29.624865 -29.644538 1.000000 + + + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + + + 0.039362 0.019681 1.000000 + + + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 + + + iVBORw0KGgoAAAANSUhEUgAAAEAAAABACAYAAACqaXHeAAAACXBIWXMAAAsTAAALEwEAmpwYAAAS + qUlEQVR4Ae1aa4xdV3Vee5/3Pfc5L3vG9ozj1GkcoqStkrqFpFISaIsClNDQCjVVoAmCij740Ur8 + oBIC+gLaSm1V0bQkECEiQRFpaShSE1UNOGkc8ixxEseOYzvxPO7MvTP3ce557t1v7TsDFqjyPDzu + j86Z2fece885++z17bW+9diHaGfbQWAHgR0EdhDYQWAHgR0EdhD4/4mA9X8ldsUVdPVUQFfvDahk + a0oyRUlx6UcjLvUjqxD8+ukSBC/T5FiJ6hWXdKFpuZfQbLNLT53u0tPnMhrkl2ZklwyAy3eFdN20 + T3sr9JmZ8fDXZnZV06nR0tONsve3rm19N4UGLLY6nz0z1z58ein5yrOvR/c+fLyfzne3Vy22FQAb + vR8aI7rh0Ai96cDEz1d9NVNzigdGKz6NoFUCj0quTa7UTxd52s6S+JZ2J1Kt2JJvdMXsiWb66aOn + uvd846mFXOnt0YiLDgB3OFm16W1Xj171pknn8GhQ3LdnV+X9U2OVe0NPCNfSGjNOUsDwSRKuFy5l + wqaEbGK915RRSa/kAZ1dUeLYud7Jbz3x6h/8yzOdB5NtAMG+WLhKdHTTlVV6989dfu3BycpfjoXi + Zpm0SA3au0bq8lMNgCJtq7Bs2xKWQ1o6pNBISCFVQkLFJHRCUucklSVqVkFFRRVyyjoQXz32jbJN + j79wbvDhFxey57vpxRo1Hn8xuvLgS37/l68I33F4+lPT1ez3RLwssv6yDBxBoe+TVyqR5ZUU2Z7M + pUv4gcgtkXZ80pYN4TOiPEIbkMgGRMmAdJJCFxQNoPuvNYvi1EJmnTjX0mebrfvSVP3hXEe1jp5J + qdnfmgRbBsBCD5+4/dr977nh4LeqqnlIdc6QbwvlB74gnmnLFdL1STiBll5JkBeSVR4hGdZJeWXS + tkdaQfWz2ABAaZ9k1CGKVkinHWDR091IU7tPotnp01K3R6T0bJHp952cj/7z77/TorPLmyfKLccB + d924e+z9t1z55ITTPWAPFqjqCe0HnrSCisi9iojdms68qsj9qlBhg2R1jKzGFMnGHi3rU0JXdxOF + o0SlBokSgAnqJAASwFOFUiLPUmELTRXfEqNVh+A5aP94uTLZCH7Tsd0zZc9+9rGT3U2rwZY44OCY + T79188xd41ZzklZamJmEqAzB7ZCUWyMdjpFbHhcyKJPNrTRsslSDGVREYfvgQcQBIDdRFDCFgmQe + Q0PqACUU2pWgyYKsqC1kEpGH82wWjhCF8m1rruN/YXyk8ko1sI90Nhk4bAmA9/3sJPx6+udh0fml + ct27CexNPfKpcOukA57paXJH95FbHSGnXCHhs+1DaMslBd5nL6A1WyEoFHtM9CoJ4roQpiMVCDHH + qZxUERHUAdcU2ncdq9AWurKE43vvnRrxj3TegGlsYts0ACHG/DOXjYTQyi/qVN2UIJorvICsEmYd + Km6P7CGqT5GuTkC1q6RZcBucIKQm6UBWC8LwDAvMKvsQACAYDIuUo0hYOfqARkH4OO9TEa+QLTPt + WLgW/ajcBrEK4TjpLfsaLr30xiakxy2bBuDGSarvHw8fK/LOlQV5VMgSiaDB9q1LUweE2DUNux6l + zK2ACPm8JKW01uArgQP4wqG4mHWFgbAZ4B/HDAI8gw0wKwATLJV2lyhqzSkGRTiFUJKjBgDhZhQG + 1tWjZXFnaNOX+psInzdFggHu+ugtk7ddtb/x4VIAQUKweW2c7LFpcsb2A4Q9JKoTYPw6FQ74QHoQ + DBGQ4MfxTPO8SwMAi8u/qaESwDSICgvaBB2R8CIS9+h4QMlKmzw8ynUtoaEBGc710zzP8kzGiCBF + 0f/q2ZZubzRi3BQAt/90SLe/Zfr71RJPcPkWqzFOcmSS3LEZCL9Xi8o4hAfz2yVQmKthyUbNtRGe + RTbirwKg2fxNYxBgFSYeLKASEjGCxA92ntOg3RYOrMaC39UOTADnoErQqwwg5W7oy7ePhsWXXz6X + xxsBYcMAwB3R7956iA7tsqlRLc341dp7vPoY2dAAKo9T7jVIexWoZygK6ZhZ12tzbaYbGmD+1jQA + AvF504ZAGF5kkCSGVyB+kgImsERFlpLrOkABJoJzTIhUFEU58KxKKRgNXO/6um/df+z1yJiTUa4L + fGwYgOv2V+nut19DNat318ho4x+dck3KKnx42NDKqVJqwxwc8IHrizWVhywQEFKYP2MAEBcC4o8/ + +bwRmt2AAAvwWW74UWc5ORA6XlmmLMvI9wPTlTQ0qgUcgSz7nqqXQ8QL3gFS9msvnFl5rhuvLzhi + y9vQ9gs/2aCak98Q+PY/SMdGKofQFmqapwp2C5v1yxz5YfQsHjs4NgD+xhSHS7EzpAep2STW2vAI + sQCMRoIpLeixxAQLw9MOheP7qLb3CvLGLxuSK9yohkdwoJHlMuKrMNcVRNslT//GBPKO9W7rvxI9 + skA/tQ8uLe7udmowRkwqi5ZiliJKya4ix4MrlI5nfmdpefI5eDEwDDHAL/wri7y28dGwCTAGbzz7 + zIhMgoiRyB+bxCWIGBEeI8PSab9JNtQEiSXGBZdpJbg1AncmBx172Ifp6AIfGwKA2b9eKcE29aQE + /WiQk05jyrRHGYIWaCMh2YONrgY4rMUAQTMrQR7Gi90c/w0FPv8T1zAG6MMIb64Z6gV7BmmhX9YG + jgadqiAH7hXBEekBNAZpB2SGn7HyLNuDGPoCYv/w9IZMwMNAbNeBjlsfh5RUIDLL4sjYJgIczAcG + gh6ZWNicz5tiRsIIhjDInOPv5gLYPCDiO0zj4GjYA/YAwxgPCC8DCgXcBAJhqH6IOAGhNgV4NiDO + cW+OoDlFbTHJVZatz/7xoI0FQmWYu207d0hLTDCnsYrrnEMSzD7oAEoBDkM0ImHDCFU1rjEzDmB4 + zzKzqPw5NAB2gcNfzM/GyPiIQUD//AgcrUWK7C0kcgfhlslB0JXDDOAYhA2uUBkAABAwlyO5YVTu + 58LbhkxgBN4NszxtGTeEYxQvADtUW5MDFUUQi+8IX1kHNNwV8GXVZeGHIS9+NvLigwX/gZoMVX3V + FeB+Fh6Nj3AN38JWxH0gHATHgGcQYcI/UIZSsgOpMft3IEVGDCCeyIrhvaaDC3xsCIDdNYdcu+g6 + mGrkYxAGpRmorERBg9nb0lzYiIFBDtXEgP0ycoPQ+HkNchtS4g+F47ll4X50M78yUnwpn8Qxmwwr + HfQKQLCN57B7PJdVAHupihyJ09c5Ydo2E9gzFhJqOi+DfTHTIECFqg2PirWAG8yB0gF4AZEb0lOE + QeR5nPJiyCwpBy9rM8uCQbwfAPBjByw0awDPPCBhAJBLSDxXFLHQWc9Uj2SWIq/IYJHqCxZYGUB8 + Pc23gQQxDpqZHCHPLv5V54Mlrt3pPEV0xpUc1POygdZJnxSqOWrQRQCDWhVAMVpuRGWBVzfujOfW + 2Cp/QVsFZnjFGhoMAnsXDZdXQPgMpTKQbrdNg5V5KvAsG4CAFnFehZ4t/ylwrHvT9XMgwF3nxlnZ + 1ESNfAvTnid/zAPTUDcFFdQZZh4gqLgHYuJSVg/qmUBdObBh2YYC8m6N9FhEI6Y54BPD72tGMWQF + PokIAn6UtUAA0CLuU9xt6aiD0DjuaxRH4HmG3kJa8puWZf3dOoNAI/m6AaiXHBopuZ/22dUL8Vdw + N78OrVziGYbacSVHqAEA4HpeCuEhEye8PDaO+o3XWJ11VlAFf8iA8ADWPAJDYPAwYg+Jj00nB98U + IJU0ReN9VujCZMUeeUEZ2WEJ6bF/dyL8d2WW970+3OF6t3UDMF4PKbTl73hS32cB6jhXX0UAeCtn + a1zOAh2jIgYTAAgEzQBXQ3icg5DGnfPB6rYa5BltGJIawFg7hz2ARQMsaApo5bBpJrYsLwAGTiIM + lhDaRlFVoqWiRO3UG+vk7p80B6t8s/awC+zX7QWwegMCxJjS7A7lWrVCiz9SuZoImNhYA+D+dI40 + lUMLG+6Rp44lMbrNJMYjGYrJ88ymwGZk2B1nlAGIz6PhmDXLXK0BMQogKCIh2eHeUB7LUTNUEclO + SnEc6VYPZeKV7M9OL/W/+NwZ1CY3sK0bgDhNuaLzcp7mh1Mp35lp+c48zshzkJSwBsADoECFeefF + TvYQqwAY92ekN4AM5RwCYMCBSEbQ1U8eO39n3hjugaccps4oB+EM8oywLCivYhmhTRF4cb6TJcff + 6N710uvtBx5/ZYW7WPe2bhOYW8loJVb3p5ZPMQKNCMLPN1vEUWcGW0iTRBfICzR7BXZVZsohAus/ + RBlqAIMCncYXxsUUSjik5szONDDt0F5McGVD6xwL8R/HGyBWPYCH6YFkUSLLu4sUw+R6eSFOzXc+ + 8uzx5gP//F9NavXX7wIZpXUDsAK/fm4l/Xxfew/2oOFRklFzaZnY53I2iOKUKVgouEYGwLA2zyEb + vFHnNZXndBc+Hb8hakPohOQXKs61AxPimOvZNPg69IUlM/g9KjDbGQRPl5uUtOdQH5ilGID00pxO + L/Q7zxxfohNNjkI3tq3bBJh7nj7VUlfsdm8LbXWPo8UHCwy6DyACz4Mv5tSVJ5gHPhRSsBlwg0HD + lQ0JkcWEkBzWGs3AXnG1FGdzmJJiIOHvFdwoVArcAveK1aIc7k8NsGQW9cmJO+RgCQ1ETAl4Z2Ug + +i/OYUltE9u6AeC+H31pkQ7/RPWmqaq8u+wKheUu0Y+xsltCkALp2fVx7s/RP4MAaaAMGcSFRfOs + 87TjGqStOM9RAtwbzuIrGu5k4cE1mtcHuaUgOjTFZfEM+wFAQYHULfpkcVCEcHsw0B/qRPrbixGe + t4ltQwCcakb03In5+WxfTUyPlYTwyjnIUY4hEwSjiyJPtF/GoqeFY3hmrSCEGiBNYE4AMBDUYjXh + tJZDG9g7F8hslMh57GzzGiMypIp7Zd4jySEvFk050OJFEqVT7XDmjbw7GXQf7bXb9zQXm7TZFwg2 + BACH2A9/f/GY79hHgiB4y24/sNKsr2yWCjOOQgTHpJjlDAMaIFlEOAz7pcIAxBGRMQfj31nnDQCc + H/D7AogbsFe6UEIPUCXA/aqvUe5GnJEARESBDECeKNAt0gFFy53uY3Pzc/T8q4ubmPvhLRsCgG95 + ZUnTyYXBRxoj6dEQq1OVQCI/yTBAlCqw9C/hBXK88qAFhPc6CFiCIcGBG7iyw5oAIRBC8zsB0AyY + AXMxewIHpTS8OwCCYDNiVzrkAa45FFgyT6KIol7fmsVy8dJyJI6fWfqP751YppcWQJab3DYMAA/3 + Oye6z+0ar3684dBnJrAwwi4xwNqYa9kijwbQeBva4GjLCaHcWAeEgArlM954KVyBvQsQGV4igKyI + L5gD+IUJN0CRE9XdMEARENUXhL2KS24JaoBxJFbaLb242H78tfn+Iydmo0ePnW49/NDTrP6m6019 + bBgAfsoSCOe7xxY/O+HV3ryvGrw7Sl1dUy6UwCGLy1NYz5M0wKruMsgOq4CIFoe+H84fyZPqLesc + zckHUPocyg+tQOCjLWRatv0JXQo+afsIeGAUKi103M813h3qvnp67s4XT84++N9n2vTtY128FwDk + trhtCgB+5guzER1oWLfPNEqfb9Scu8OBjWUaKVxUZDlVxjQDiPaQvJgkWUyYgWH5/jKpzoqCNuAO + XgRDoRO0mevio5kuHslc55NY9TTckOYos6ggjTr6F2cXBk+8fKZDDz3foXObWwz+MbgwNZvfjjdT + XXXpm7XQPSpd90a80FDPIWQOybCEZbENF/DhGi5MoFlwbRazeRoJdnGuyMDnAAvhGGD7spLWx7C2 + 8Ku5ku9IUr0cp/Q3g0zc0I7EB2bbxb+9cHqZvvbkHJ3tbEHnf0Rc6OvWNu7grYdq9CvX7ytN1J3P + jZft3x6p+VRFBRWr+CA51OxxjQMN8LCo6bI5oIRliBB8UACwBKH1IJcziXbPZOQ+kObFdb1BemuU + pMeXe/HbTpxd+feHnlmko6/HF/1t0i0DsAbfRMWid11Tob0194O7Ryt/jebX8WJgreSC51AmS2Ik + ThZWbjwqh3g/kEmOI4SisN5Y7D/46lzvtmZfU2sg/rS1knxurt1fOjnXoZfmImohGt6u7aIBwAPk + zibxcseb9weXXb539GMzUxPeaL2c53HnA91OCwAIUa0ENLV7XIyN1FE2SOncQvvOJ1947f6Hn1mg + p+aI2hsP57eEzUUF4PyRNLCMdO2+Bl01PU4jVe+9JY/+Aoto+zizGxutFyONmoyiSL0+u1D70iOv + 9o8tbJ3Rz3/+eo+3DYC1AXCQOFUP6OBUxdpVd26uBNZba9XyNZ7v7WsvLx85euzch548tY06vjaQ + /2W/7QCc/1xQAFUDSY0KanlY8m51YpprI/k5/6Kd4x0EdhDYQWAHgR0ELhkC/wOlYAY7ykR6WAAA + AABJRU5ErkJggg== + + + + + -29.624865 -29.644538 0.000000 + 29.703573 -29.644557 0.000000 + 29.703596 29.683904 0.000000 + 29.703596 29.683904 0.000000 + -29.624857 29.683904 0.000000 + -29.624865 -29.644538 0.000000 + + + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + + + 0.039362 0.019681 0.000000 + + + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 + + + iVBORw0KGgoAAAANSUhEUgAAAEAAAABACAYAAACqaXHeAAAACXBIWXMAAAsTAAALEwEAmpwYAAAL + TklEQVR4Ae1ZWY8c1RU+91bd6n16ZjA2xqMx61g4MSRRsMMqgkBAEuGQ7Sl5QEokgsRrlLwn/yFK + hPJGQiJFZJECMZgYEgvwjDG2M3iCV4bZ2jO9d9d67813aizHEi/RdIEipS6Uu6u6uvqe73znO8sQ + 5StHIEcgRyBHIEcgRyBHIEcgRyBHIEcgRyBH4P8OAfFpWLzn1jod/No+uu3mCbpuskx+v0trqw1q + tjq02mjRsB/R6pqm4++H1BnoT2NLV3/jEwOg5BJ9bkbQ09+7v77/7pvunpqqfTEOh3sdKSdNosdD + PxwzRovG5Q2/0+x0VpfbZy6t2MOH32m/dGSuaY29usdP9E3mAIxVFD356L7y3XdNf2fvnsmnP/uZ + 7Q9UK5qsGZCwRniqSCaOKMGhXIcSrUlHoe33Q/HhSmTn5jf+9ps/n3ji73O9kD4FEOCn7Nb9B6bE + Mz/4yrN7Z6Z/Nl5x69M31snqLoz3KUkcUo4iF4em0AqLg6woKCukikWpEFOp5ojyxLaHhtb8ujh2 + +rvnzvWGjYahoU+fGBaZMEBKom8fvHPXN5+69w8HDuz5Qr1aIqGNKDiuDf2BUIrIU/xTmoxOKP1R + A2wSQ8ZaoURMOhlQYskkQslzSxv23fmLjUsXFmfbjdaE1MKxsfzlmQ/7z796rEXGZOe0kRkgYM3B + R/dOf+vrD72xf/+tu6tlQ1Ik5JWqVkeuVcVx4bqCpDIUx32K9JAc6ZKjCiQ8VwhonutZcqIO2bAn + pdR08/R2UaupHes31b4a9ftkgsj6/fjAzoV16gz182+f6maGwMgAPHLP7d5jD99zaN8dM7vrlQp5 + HgMAqssKqF0S1hYIfqYgCfGvJOMWQHyHjHCFSYPAkjDGOo4lWfQQItAJMMVTZdo+VgWTfIr9roiG + EWnh/nTxcvArAJAZB0YCYPeucTr4xAM//vKD+2embhinKB5g6y44XRRWlMhxakSykgIgKCbX1SQc + hAHEzcDzgAHCiPMY4CTKuqoGquCaiajolawEWGS6FMpIlDyiyUnvhuvH3QfAuiM2I4HcMgCOI+gb + Tz6oPn/XbT8arwkbh02hCgJ0ZuNhKJ7MnuY3m68lsg4CHwYi7K9QOIa4RbgRhgqD71mwQiNEmEUx + sGkhHUrhlgKK8cz6eM0WPXlfyZNHhmE2JNgyANu2jdOe23ffVy2LCkHp4UZ4vEh+OCQJz6viGOyE + qgkpEAskAEZ6nuo5VBPKKUQIINItwPOQSKRE1Aa4JsAOpogHSBySbkLhsEtuoSiSJLqzBKCH/NUM + 1pYBcF2ksyT6UhS0kNM9ozwjoxCp2ymRV/AAApQPKQ+WAgR4HQYBjnTLKSAWFhN4bcEQafEZgxXD + 6CTNeRbXgSoAiZFCAbBXp0rNpe3br5+qFhdpo8tAjb54F1tarWaXVpYWZbO5hJjuS2N9CqNh+izP + QxqElKWGIlQIhhkawMA+WNDHOe6TEfzMoVDEvRBGB0AoqIInKUHKjBVeoQFG1RAkFWSMSRqb2EG3 + z8zsqFYAXEZrywAM/YDee+94srx8FoVOAE8l5KoSFQqTiPEqjKzDcx7AYakDtSnA9R5eO6RNG0cX + n3H8syWAAlhIiVfsiKXDIisYnBuEiAMQVHESqXWMduzcOVku4YaM1pZDgH//0oerF32/DvXmM/hc + VakIAJKkbKVXRZlrEfpsBFOfBQ+BC0pbG8NkWG49xHqR7cf/UH9cE4wEtAM3AVjGx0FqHQMo0IEw + RngVC5wys1ojAeCHtDAxOSE8r0CFImoAtwj1hsccibI/BKu57OVYheAx1TmuYRUTX4AZEuZB7WFr + CgcgYELylgAEH2AA6kXUFJway8gEQFqkwoJ7slkjAYD9Xtix8wZ4qETlInsJ6QxbF0h3ifWFq1yw + ASkRlZ9AWLDUQ9ZxDxiAapFhkYhww5oPUWRNYI8jb+C+9En4Lr6Pe6xOU4rQOnEtUyOjNRIA0lXd + Sq3eQuUzwcYbNhSUj+GxGDRnB7JHJbydev2KiZt7Zxqzwfy66XG+jpyB+zeBYkDSjzUAiAYiCto2 + jtoQlE2x5ftHXVsWQf5hGA7RKy2gWAHR4W14L4aKcbQncJiGo5j20qr0YNqnLICZXAey4kElUvM3 + /c0hAbqD9v85EsgGyuEAohmjs7QDjQ5yVLuvfn8kAAZDxLc1Z3QMmYsBQgTFj9nYAnkS4rbp3LR7 + MwbGAiiYiEPhwFyASpuHKOLcu3I9LZDTvIFAwrWQ/KCNlrgLQLnYktHOG6+/asCob0YKgeEwoSAI + Tus4gfHwOHNeCKukQvwjBaLo59KWic0eThezmvNcKnbMAlSIACqVBugCx77BK8c5xiX4Jpog9AYO + 6oJKeQIFsxzM7LmD6C+XN5834r+jAeBD42N9CoMeinxs1GFxQ8+mUBOgK0zwH9cAHMtpPDMGbCEW + vgKjUR2C8gwQX00/htmsCFwqG413YE6pVCeniOCxIVXsWGNi2zQ/IpM1EgARKtd22z9qdtEw0EHZ + uAEamYAUSmGbbAoip/XNWICBXOXwGcc9rqP9wSvLIOCBPvDF9D9mCGcCAIg6GV1kBY2CJd8X1BvS + 6srljBoB7GUkDWCvzZ681A9NMhuYHnr+bjrw0CakIMLwA+exReVHfRwBDjAiNZrVkZkBxsCrEnEu + ARzCO60LHNQGjoWk8gEOpSA6BRRcdWqsh0uHDs8yjpmskQDgHfxjdpEGcbSAsaYNTZ9iDD9jTH1Y + tAJMeeKkQ0la9qIXgLEWRnFxI/CKJhrtP+Lbwnj0Eg7hEAGu+SR5coRrEp+ZCDPFIBDrGz06fuJf + b5+av5iJ8fyQkUKAH/Du6SaBkv+85eaaCAKNsVeAOX8fLaxLCiKoSugE0RhaiQIHJazgqg60BgpI + IDwbABCc+rhjZAGEOGBChDcQVtPH3HAAYIharT69M3dWvPj7Vw5phENWa2QA1psJnT3fPnrLrZya + eLoTkA9tUOjkMN1IJc3hvI1fEujrhcM0xwmnRI0OAMamsX5F+TlzQFmRBBAWji/CsE0b6y2anZ2n + l187eey1N05fyMp4fg6DO/JSIlq+/969z3iOrDqIcaEx4kLxwvTFOBcHpjzwtGSjQG8HXWB6bhM0 + fRj5Iual4M4wxFDEtxZzQKsD9EUhWuw+ffDBeTr02lF65fX3n2p2aHnkDV/zgJEZwM96861ztLrc + ++30jbXnXNdPx1pRmCD+QXsURqKI0hWZweAPIZpDA6ruuOgYJeYGErMAMMdyb4DBCOaG6I2M0KgD + DErJKNA2RkbRtvjc0hodu2bvmbzNhAF+aGnXdeqjO2amni148C43OBr9P8ZbMVrYKAwogpBhXGaj + KIRI4nNUdREGKKkwShTO0IYERuNvA5gke8LoAiZOnt1YD989s7D2/RdfmnthpTHIxOhrH5IJA/iB + v/vj7OmHH7zzVeXYRwr4GwCXQUFgIYgAAUCkBY/rCNdTpDwPPUTRKleJaq1uBfRCozz2UVIzmJLK + Czby/tq83PnT/OmFQ2++dYJOnVm7dt+Zvc8MgPNLAVLUwk+q1d0Pj9Vc6WOO31jtUQfNW28Y2s5g + IIbMBm2si2GnUh6nhp6nCgu9QJ9sdv25pZWNE8trrflWM2x32yF1+5gGx6g2sxP9jwGXGQC8x1+8 + cHR2/Dr586ldkz80mPCevbguVpb6tjfUdm29836zO5xtNAdHen19bDAwF1A+9iNwPqsZ/8es+y8u + ZAYA/9b5RZ9efv38c/fdW1z2lLPvg0vDk3OzFw4vrvrHW/0kCiKu+/+3VlqpZ7kl/oPJ44/to1LR + pYUzDTo1/1GWj8+flSOQI5AjkCOQI5AjkCOQI5AjkCOQI5AjkCOQIzA6Av8GM9mtAEFG9iUAAAAA + SUVORK5CYII= + + + \ No newline at end of file diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/xml_file/boss1.h --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/TaskManager/Test/test_render/xml_file/boss1.h Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,3 @@ +#define BOSS1 scene_graph +#define BOSS1_L scene_graph->next +#define BOSS1_R scene_graph->next->next diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/xml_file/boss1.xml --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/TaskManager/Test/test_render/xml_file/boss1.xml Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,1824 @@ + + + + + 74.764130 149.528839 0.000000 + -74.764603 149.528900 0.000000 + -74.764633 -149.528625 0.000000 + -74.764633 -149.528625 0.000000 + 74.764130 -149.528656 0.000000 + 74.764130 149.528839 0.000000 + + + 0.000000 -0.000000 1.000000 + 0.000000 -0.000000 1.000000 + 0.000000 -0.000000 1.000000 + 0.000000 -0.000000 1.000000 + 0.000000 -0.000000 1.000000 + 0.000000 -0.000000 1.000000 + + + 0.000000 0.000000 0.000000 + + + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + + + iVBORw0KGgoAAAANSUhEUgAAAIAAAAEACAYAAAB7+X6nAAAACXBIWXMAAAsTAAALEwEAmpwYAAAg + AElEQVR4Aezda8zu2Vnf92fvOXl8Nj7bGO8xB2MzYIMRBqkG1IhAqr5q00hRqyhpk6hKaGiavKhS + KUrVN5VaqScpVVUpUtsXFSJqhCK1KZGpAEMdsMecjTGYMcaAj2B7PAfPHu9en3Xf373Xc+/He/Y2 + nnnTuUbrXuu/1nX4XYe1/v/78Ow5O3uOnovAcxF4LgLPReC5CDwXgeci8FwEnovAcxF4LgLPReD/ + RxG49Gz7etddd73yLW95y088+OCDb37lK195+Z577rmEnnrqqdUPnktf/vKXL127du3S3XffffmF + L3zhpec///mXnve857nGc/nJJ5+89MQTT1x67LHHLj3++OOXPv/5z1/+1Kc+deknfuInnhV/fuiH + fuhz3/qt3/riwXV23333nb385S8/G1/OXvziF5+96EUvuja+nP3pn/7p2Sc/+cmz3//93z/7kz/5 + k7PBe3b58uVr995779n4sJrYj59nX/jCFz77oQ996D/85V/+5f9j+K49mzm5+1k0dukbvuEb/sY7 + 3/nO/3ECdnkSeiYYgiUIgqKf5K9+gnU2xXGGb4pgtSmA63CvXr169olPfOJsiuBsiup6QK8zPIOD + SfJ9o/6aalQAL3jBC1by4RhSqGcvfelLzxQIHxTBpz/9ab6tNb7y71gU+F7xtre97Z++4Q1v+ND7 + 3//+v/zRj370A+LwbNCNiD6D1iZgr33Xu971f37913/921/ykpesJH/pS19aAWBWIQiIpOo1iRdE + u2vk106bnX89aIIsuIKpPZs0dhUAuuZUUgSSXwGXYL5WHH/8x3+8iuBzn/vcwgs7f/g8ck6GSxMf + p+JDv/u7v/t//9Iv/dJfm5Pjjw5mnrnXZ7oALs1x/6Nvf/vb/9vXve51lwVG1QuWpAsYEkABQXpB + k3RHql2EjoG6XiBODvroSM9ifBZeOrXGrlvV8kfRNm+sQJ1O1hWyU+y1r33tmQL4zGc+s24L+TV+ + uAWeze1s+f/t3/7tPzzx+vgHP/jB//6hhx76B1Mojz5Tbj1jBfCqV73q67/v+77v/3rNa17zoIQi + x5rgCEpHvUK4//77V8LteonHrxDis1M0CU+PgEXxdf1s9XyAi30J51u3o4rBtdNu7vPLz2/8xm88 + e8UrXnH2B3/wB+tE8KzwxS9+UbFcm2JXC4t/To9L3/u93/tjc1v4mx/4wAf+3kc+8pH/aex8ze8L + X/MCmMRdesc73vF33/rWt/5XE5TLdrcAtSs4aDcLniQ7Jr/u675uNYXQjndSCBx+wU2PXRIpHmt4 + 6Hs2iU/saoh/SMJhUQCKQ6+wrcMu2Qp8ErtOBc8G2sxfP03I0z907Y1vfOP9cxv8x3/0R3/0D3/x + F3/x35tbybstfK3oa1oA3/RN3/S6H/zBH/wXk9hv50D3Rs4LlIQKiLEg2Ak9PUt8fAKAp8AVXE6X + 6BKgr32tgvJ0emDTEGzsSzYy79q8Aq8QFIbbQDxi87KXvWwVjDh4p+ChVpGIxchdm3hdevTRR5eu + ie1r5p3Hv/yd3/mdh37u537uL827no8sZX/Gl69JAXB0jqu/MQD/8YzvruolWWAEQwDasa7t/Fe/ + +tWrF4wC14Og5OOXcI0ePHa8QtLM7cH+M8bijsRhqcHyyCOPXE86RXDC7zmAT5IqThKK37iHRKed + 8dw2zz772c+uNnzrRLAmPnyduF76lm/5lne86U1v+p3f+I3f+J9/9md/9j+ek+OxOwJ+wvxnLoC5 + x9///d///f90Hlr+jZLFWQnvvieZiqEjnkOu9QLRDm7XwCi46XONT2FVDAIjKK4rDHzPFsEcRsmv + YEsubAqAz+bgrLg93Lp2/7eOT8w0cVEMbgveObChaCbR6xlBYQ1devOb3/w3pxD+4k//9E//pTkV + 3s3eV0NfdQEANg95r//O7/zOfzXJfj3jHJGkEtNTvKOOUxws6ZKNF+kFVJIrCAHSkDU884HPeooW + cPdSx2V8eJ4lWjszTJIrFp5jEJyKQS/h1vLJujEZ8pKt1/BKrliJm1iSVQRori/ZVPxObnS9bD6U + +pdTDH/vve99738T7xK4zZevqgA48QM/8APf9M3f/M3vmYS+mq0CArTkSrR7nsBwSs/BnOWwIOHl + mLYXBZ3m7HSJn3ve6iU9HdbaXew+SzSmDvd5hW53wuep3rzY6CWJv3yEN7JmXuEat3PxVMx0iJdC + MvZs4NZgHb/bClIUYjYnwX89RfPeH//xH/9/xeRO6KsqgPk07555r/rPJvivloCSB2DJ92Cj+SBH + MWjW950hECU/HQKBR6I5ynlHpffO5gQNL12KSI/MP0u0Ps+oCGH1AKcIjPnp+HY/94DriEdwJpOv + FYt1a1oxIodvHv7WRnIqSG5xEHf+i/e8db486z82G+6ZLwDH+CT2788HGg8CDiRyZHHIuur1oUef + 4gHabSFeyZe0EoenAEi0D0y6DyoEzgtwwStgenPpWWCewZexc7egowqQbf5JCupBzq1qnpHWZxsK + A9Zk8JErftZQhU2XGJHzsGwzkf293/u9s/lMYG0G19Ou2SATs39dDu6U7vgE8EHNJOKvq3pHfIlw + XEm8pOvxcdA9i1MahxQJco1yXJIlHb9kd58X2NoSOL5IgqYoSsjYu2v03fiEaBf42o3vY49vNUmE + QwL4pYDtVH4oBkUgiTZHmCWPX2ToQdYqcPoQXUg8xc+D9cMPP3x9swyfL8MU3ysntt5nPrIEbvPl + jgtgQLxhQL3JPQ44oICbdwFn81n2KgpVK9E5ySnXVTuHJV5PB6fsdkXl2prg2QWa+ywSwI7GgiaI + FdiwqK47KgB6wlMxsnUL8nHd8oVPmmTp4YAX8QM2fihqBaEIXv/616/nAzLik20y7NORfnNi5hq/ + vncNxm0Ma8cYv3ZEPkzudumrKYBvtVslmQMquKd8O3/bjSsoJb55Dua84Hi4s0v0gsaxdklFYM67 + CDtJz66gCgAs2rGgXjD9jY8KT6LQKTUF+44ppn9neN85eF4zGB+Z8YdHzz+fYvtnk6zHnUAX0WB5 + jXkY+e4U5JPihEMR8w92PNYUOH0a7G6P7XzrkoeXDyW6OIizscLCa7OxhfhPXzLjx+tm+pktgAH6 + YJUNHOAcd3xzmmOak4FDGuBA1hcoR5fvzCW+nd8pEa+k+TLF7nHKKDZyCkZg8RXYkX3jXH9mRWd7 + oeM7vuM77puHsr89GH90MD9QwMkL8OD87pn7y4P7kQn2P/mt3/qtf/ixj33sc5uaNZwgP8iXvbAV + /nxku3B9/OMfX0XAL+T0Yh/94R/+4Sp27xjc03u7J6FOVDhQcROL8JkXV9dsIzgUQDEe/jeshTt4 + ueMTYIL0ZoYVASCMA9GRx7bg1gCWsO7vgVYECqbkq3C6OK0JmmRLvB2jCNijjw5EhryezATxz88D + 0UNr8fgyQbt7Pqj6j2btPx39r5zr9dZJsGvDur7bP/r1wjlm/863fdu3/Qdz/bcmaf/rrm+S/WMw + 8J/N/MHjhIKVvx7U8Dj68TixELwe5BQBnyTfsW7cSVohKHAnXn7iEyOxRcZ0I5iG3ALuiL6aAnDM + rOABIgjteCAkleMIOLvTUypnFAr+AqcIBCYnyNBpd/Quwu5y0sSjkD760Y+uwApMwaF73nr957P+ + 301gvFH2Pf2/OR9P/5MJ3Mtn/RKcdh0bxkiwB/clegbPNWOBnWJ7wdyv/5eZ//fnFvV3Z/4PRs9f + mYJ8B1uSTAYuPlekfIeZHb7R7wOa+Y5/xQE/uaO9lThjheHUgM0xT15B8HePl2v88NsQxRrmmVsf + yC3HbvPljgrgWJkv0QMFrKPeWPIDx0nNnAIAWNAQwNb05vDo6fQOwjEvmN5DSzzHrOETJEesRm9z + 9NM5cvcOpg/O7eH/mZ3zF+b+PPl69dJBD312Gjm49Xygn44JqJ+ZXd+tEjEfdv3AFMBDeF1LCux8 + lXjY7XLrMMCf73Yvu3a5hH74wx9exUCGDgXCHlwSSgfyHNFtQRzExS2CXrb4Ti/s4Z9rPyp5Vgpg + faUlaBHgQHGsZAOIzBUQ1+RaEwTXjnvB8qGHr0ldV2DkNYFyD3W0uv+TNUcX+/QI8si+cZLwVwVM + ggSIfbtlL1ZYCjhb5PHg5YteUiRH4q3hZw+eku908yDoVCJjzbshxzWe9DjVJNXp8Nu//dsryfSx + gcQN0U/GNd18VQTkzM/XwstvvCX/OPZzs/WA6vp26Y5PgAnUfYKlBZajdgTAnAIUOISvXgKaJ2ss + UHb8Aw88sBztNBFI/IhujguygNg5JUFywmNsXgKby45Am4PVHN1hU0zmC6i1SfiwrUeDxV9x4CHf + SYGXPQVnd/7ar/3awuCHH0gxw8QWfFeuXFnF5HeC+NkNB37xw18c9d4lHTGtwjcHA6qnY9pL1uQd + vNxxAYzuexmTZIFzLBsD5bpASWQkCOZzVDLMCYid4WNTfU5by3FB8nGw+2PJZ4dNNtIlAY7KPks4 + JvTaJOwS23TiFTDX9NfghM16BTg863aAh4ymWO3iClwhSoznG3P5N7/uvb5z6eMbX+liW3G4NVXQ + ikkc7XoFBrsiYJO8a7bIFnvr+MUC37EwD+8PC/xt9HdcAAPAb7OvO8xxgdNX6RzFA5R5QF3jE0TO + 2/WONYFJHz48nJJ0AZJ4J4AA6NnQ6HbbcE/Xa3T7QEnA6JiiWN+gSb7mOBdQSaOvxIZVgK3RradD + YioCc457xSZp7HgeqSgUa77P28jlAxkY51li+Q0DvfTM9ylrdyta/pL3tpEMol9MIuPi2Txd8KOx + fXi/mcBt9F9VAdAreBLBYQ1Ywa3hMRZUvBI7Pwu/nngBsM4hhIfj9DjuPTXb8XaXxlH2IkH3qVr3 + R9duQfHQLTDsWxN4+sPNbonOh4KKTzFJvkaGLjrZuzLHuLlOAA931ugLK5ySad4cnWKgePRs0qFw + xcJm8G6hzwoUu/V4xSUsfKSbPXqyPfz9WrkwPW1/RwVA2wTCX3IsxYIoMQAUbNec1RCQnJZ8R5+n + aGsFnZPkXXtrZdd7n2znCzBnOZ9NvSTYUR4aC6jgkKEPwaYpAEEk1xweGFxLOvuuzeMLmzn4YQgn + /I50J4BTTPLYmF/oLH3tcDrhR5IlLq7FgJwTiQ6yePlhzW1MQbnvOzkqIvL5phcTtuDLt8F9+IRo + Wb29lzsuAEYAKCCMI0ETaM4UUDtPwOzUK7NrOE2OTIEVBLJ2iWNQ8u18D1bW8OO1I9sp3in0mboA + WhcgY0Fhv4BZ09gMt4SGuzCFy3X46DEPH32KZeejp3ctkiVxTi88cCgwmMkrbvropksRwxXh5aPn + IcR3BS1mTgPFmF/GCoYdGMzTNTqe2QIAfoK87jOcaIdIPIeBBci1eztnfETKWQGyJhjIblDlnOxa + 8ByD7XwOctTxSFc9fZy26zkOix0hiPTroxJNl7VawW995zdnXSNXoNmrCOipQOGaX0etE8m7AIXQ + yaLHCyOiu1iVRPFiwybQm6+wJN8pgAdl07hbQj5NfA8/PrB4m3RHJwBDQ/dXye04gBWDBKvi+ZnY + OvIdlZKBv6NMUDvqvRWy0zktUJyVfMHisBPEx6veV2uKSvAKPjB4BYV+OhSCOTrZOk0wGWv1+dI1 + 3eYQ+cg8vWxUaNb5zb4eVoWu6Od7hFXMHvBgIq/nozV+41XMjn2+0e85RgzpcKuwKczTw4ZYw8AH + 8+bg0Aa3j7nvG1u3/bOgOyqACcZ9Y+Sy5DBYcBWC3a9/YN7Pf9d3fdcCKpmcATQC3q6fHzKuBx4J + Vcl428V0c1SA7HzvGhSTxNBFBx7Otyvpdx0mAW+cbUHTmteX7L0oGscXTz675itiX6GSYROGnnX4 + 4EMfSYfZBlEsSAEoBKcH33pAJkNXNjzrsPue97xnzRd76/h2rNbG/qvG1seWkdt4uaMCGOUvl7DA + MdhDkGAVUH1VL2ECE1CBcPT3oZHEC4pGruPRjlBUrhWRwKbLGOEXHHjwFZzmBdxYgyFyXQCNkR7G + rnde8+TTkU048JtnCz7FALdm3VO+WCiUTg62yZkXD2t0Og0UAKJTkeFzGngu8ikowquFqVizPafG + 358vmv6TsXXjPrikLn552gIA4Jjw54/yv+7jVoYEXGAAwdMJwIxAmLOGBxYBQhx2C+A0Hvc9jgiW + RAsA3dkokHRqkkzOODn6d3vsuG7eGA6ttXCvieNLfC7jbcwWIqfBq+WrNdjciviHPKhW4OZLVOPi + o/htCLxirbVGv5jM31iuh2SyFRCM4jqxnOE1f0J/Nt9i/p0pmH933k7+D3P7+N9H54cHysHxher8 + y7kCYPTKPK3Pn3W9darxH4yhPzfKXz6BXE/+RBkVjIAySk7jiIRytGC2hl9CFAAnuvfTaa3biCKo + AIydMHTRjQQ9ncbhoK+igBGPtYiOmrl9XHLNkdPT0bXxTvnSDiWvkTUHV8QXc3xJDk46Jc+ceBnz + 0bsmeujDQ5ZvigBfOsKPZ/jXB15s4J/bysvnlvKPhucfzfpjU5A/Pm9T/4uHH374I3Kw0w2kMzu/ + Lz/74R/+4VcNmJ8fgy8FyE5kRJM8bYDM5eFzcsADDJTj3VHlQRAvR4AWlBw21pwCrSkkx76EswVo + svQj+s1bN+ca2Xka5wXcmiC7xhMfXmPyUdfx6PcGHwpD4+ToMmYrbHzDr0kKbBWAJOKjV3yMxcHY + yeHbRPLmix9Zn4/scWlc/PmNT388NTnpofD+eZb6q3Ma/eFP/dRP/Wc+YNvpXAEQnPYXJ/AvCbye + EQEFiLMztz4nN88hPCXSnCLw4COh+KtmPIDTg898gNkWKLrMI7KIjDlrmusjjnWNZ7/Gk6we/1ei + +NKhv4gfXy37eMMLn6TpKwD84eV7RWodJUPO8a8AxMa6teRtRB85WxNvsYPBtWZMJv3HjXD9D1iO + G+Eem/mUzhUAxlH+fcO4vkDpQYwRR7un947ZDAPDyaPRBcJn2t6+HAvqnDPk3AJykFyVW2A4pO0B + KmB7H5++YMGioeYbr8kLXkqsJWNyF1F8Eobi04dV7/p0jSz/+HuM84qlWCAF4KgXH5siefFWGN4x + WMNX7I+nxPrHJehUAJp4elYzFgs5mlPmbvOndK4ALA7QbwTAWzBvvxzJFHgfqi95xjlLzjEGEEc5 + ogDI0mHODrCumjkUL0c7PeihM2pcQDljTN+tCE+FcBGv9VOKL9lTHtd46N2x0xNvPT7NtQTushKF + OhFsLDrx7XrzXZx9wSVuYtwtRE/HyF7/iRu9drm3lVpF4DSefNzTBsMXnSsAoEfoFd66UKC3i82r + JiBUH6CAA4c4aA5o/MA5BbzH9dYmwwDj5Qhe83r6BcGaFhWEbOxr8dYnU0+ndhElY33XGa/5cKUD + H4yu+S2Rp7Kure8ypwVQDPDSkR06s8GOeZvNx+M+MzEWN3x0sHEsgPWAaLNJuA3nOcIGVgzFfjbd + PfhP6VwBMDrGX+yJk0ICJVlifRrXsSLJCkJjBJ9WgZhTeYDmkN58waviC5oAFEQ9ItP8fr0Wjy94 + CnrjZJrf+Y3Tv89nq6RYC5uxeTGBmw/WzKH4dntsWNfv8zsvmwhfY30x9fDnB6SS3ymB3zosjnWf + JLbrfXro2imOyOGbzXz9S7y1cHw5VwAcmyQ+j3MU56zEMqiqrEl+BeDWwEGF4P4EpMaJPgYli0dT + QJoxe/j24MBlDZkvKF2bS1dzrtOxyzSfPvw7JWNu521svWau+b1wzaH49r7iWAzHl3TU828vkmTE + TKzFVBLZJFOzISXZCevzBjvfCeBtpPjGLxd0zdrTF8AR/L0UA6YAGCyBwJljhGH3crySjwDFo5mz + 7lbhYTLH6FVQbBUE/V4MBTH7XZMt+Md4Lj10WxMwlC3jbBhfRK2HB49xPb14ug77mpiXeOGKR0+G + rJZu/lxE4dWTq4mho98zVX7T4daqyYvk2/XdahUGHomnpxN7cF//LGfHcO4EIDCMd+2gOewagBQy + DhgjnLPGEMOcMOdWALjbgFtHQbBu7ZTM7wFit0CQ1dK/89GDz/peqM1bi9LnGj9K1jjs1pLb+cSh + eCSrR2TRKf+OdR8v5nlhJ7vi4mRlQzE7QcWv6/IiyT3p+5jYBuvID4deftjUBteFBXDj664jmAHk + DyyvOxJocxJNMWPuM76ybB04xCjACD+nzJErgIKkJVNfMJbw9hJvfK5R/T6OV5++/Nn5dxnjneLT + p6exXqHld3Kw1czxl12k38drcl7oIENfCQyr2DlRreMTU2sKg27NSawYzOOnB794i7t5Dc36hbeA + cwWAcRz0T7Ved5xCTlOUYXPuN542A8coHkDx4XGtooHD51pFVySukbXdhvmu9a0ba6h+H6cvzOE9 + 5cEXr7V97PoiYg8f3fBkY583Dlc20r338ZkTG03cNGMkbu7/eIpdRaBHfdBGJj7zxdc4mlhc+GOR + cwVwTNyKMIUaApjDWvPGKs5bRXNAFJScMA8MeRVOv9tCATzVz5a5PYh04mereX2NDHJdwlsLV3YO + nIfXeOrT4XrHYB4GDZUktm6X6NwpPPyyo/UovMZi2K2yGLRu10t+cukQ62n+9dIVb3LZnv6efKA/ + uukZABNDOUhBzXxrevMePvywQ7V63ylAji5k7D7mQyH3LMn3aSJZICuagFY4gdNzsvVwtB6e+grA + Ol5Ep/WL6KJ5cuaTJ1fg6OeTVnys4z29NpeOfYwfhdk4PnHztS+fPQCK104SLcZOXvd+hcAufk0B + 0GueTgWkNzc+XHgCnCuAwDh+TmkHbBxonxeoxj3pgQFA8pGEe3D0qZZ5iQGeUwJcotJLxnxN0KOc + Ig9LupLpmuxFlDyduy/4u9ZH5ukU4L0AzPErGXr3Rt713u+8xmE1tol86OPDH3ZOY+W264F6/k2g + 1cOTPbFovAzOS/6wMePbK4ABcm2qb/2xpCf7iIEI2Byzsz2M7Aly3LvXk/E9t+LAr6olHCkSAF1b + AxIZ04+q7GyZy3bJN2es4dO6Jp9sOvEjPKj5+MyRQ+kzTmfzrhXyKW960ot/H7tG+MiKlfjQp7dh + OgXotxnx2fmSP/9O4HrrJ+5kxE/PhniO3vUlEBuuxVWhTH83vlM6dwJgHqPXGJU4Hy4ggpQhvSbh + gAHgNpAhQMxJNoc0+ujQOMwxOpwePRsISDw5k63sWkcF1LWxZow/2cU4L/SiMK+LeUmXazL4ataS + a4fvc45Y/tbEwZhMGMJk/iKyjsTKzheTThg66ER02ojedT0wP7dz9CuG/MUTrzHZHYMx3tF/Nxun + dFMBDIgvz4c3dwHuAU+lIUYkvUDozTHgx5B6MuYkXQG41shxztqxGlfi3ToEAE9E755E467JRuZc + a4qInp4rSmR98vqIHYTHfDzmrPGn1jqckhWPeXP5kD3y5vXwJb/bNDYPswR3gu481umWB4n3oU87 + P9nsZwM2ONLj2q13vqK/W05O6aYCmOR9GSjNKSC4KdcLCgOIcWOJ9Vk0sD75c4xxCG8/+8aP1xwn + 7H47CR895unfiRPWc8Y6G3jNCxx87KtuJ01F4do82V2vMRxa8/SF7dQ+PdbY1bMhkLCTY4MePoiZ + OWNzsBgj1xod5uB3Db8GZ7z57DsZt1dHv+TbMPTTi58uDZ32a3JeFKxnisnJXTbmKZ0rAMrH+NVx + 8D7OeIJvdwPLKId3ymEB8gte3xdIbkAFCwigEfkSd7R3PTAFCJ+xQABNHzySQQ9b1uOzhreACwY7 + 5kvGYj6+8AMVQLo0eMx1bUwvKjkweDqXHHzp4pNxQbaGt3U6jIsfWxF/2CFjXTPnqOe7uMqDa5jo + 4Wt85Myn07XmWvz9QGeeKy7nQ3b15wqAkqnsJzHayZKJKAqc6z2o5gEyF3hgOSRQ+sDhM+aIAEqQ + uebpRrtO3yfAYcfh57hgO0VyiE528Nqh5s1F9O2NP9a1bMfvunXy7PBDMvlHj41hZ7qOGpOHFQan + H1zmULrxslFcKx66+WidDsn3HCaefd6Chxy+XUf+WI9gEJM+fMvH1vXnCoCjY/hLqligBdMYGAQY + JzKi13KesyVFgPym3f0HT1VOL+DxJWuuQLFFBg/wThBFU6DowCsIsOF5eP7tvD6PgIPeAsRx+lCB + am2ft3567a2X5JNjk21BZYNtGO0ya641vDaQ30TgRzsGNlx3krkmr4eLX5LvO5cHjv9ugnm5IBd2 + 412vMT3ZgxsO/cRvlm9sisU0L+cKQGXPff9RVQ+cglAExojhyBznc4ZyQc+IscqVHH/x6q0Nfo1O + 84LVsZbe9NCLV/LtJPdJRSUwHJIABOP8H7fO5n+vst43C4BCkTinDDlYCxq9aMcd5uZ3XvJ903aM + zzqRPB/13FMc+AwXX/O5gqebnd0W3a7bJOIuJuYl3wc+TgBz4YWNTAWjZ7/NgQ8O8+IsfmjWv+z6 + lM4VACAD/NHeagg0osxYIFUnysgOxpr5qh6/U6AEdJTShafAXZQUc+zaXX4QYcx5D0IC4loR+eDE + X+Z60DGnoNjDJ6BkUPLGbJcYmEsKm9nFJ5kaffnLBx/Q+Ps/+EsQPfyy6/1+z33XjsVDFg662drt + 0c9HaxIPt7d8Hvzo5g8eeOnDRx97dGp8Q8VzXcwLfrrhmuQ/qYBP6VwBUD5/nPl53/KpFsYRAJQD + zrjeNSqwORUIwMxxiiMqkZ6qvcCziXImuTU5L/RxwjsL+kqsgPi1jD+9shsLCh6NHJv65uiElz7B + gcHO2ROEv4Car4jogtWaHS6oyTpt4JF0BaA5es2RoYOt7Bunq11JlyZeCsB3/PRaV3R8lEDXTjY8 + 8uNEJBNmNtLNT76L6dy2nqTnlM4VgMUJzGcIAi1hqB3F6QLKoHVK8VvDR66AMgycpHNaa40eBKzm + 2joZgSt4ero1O4/NjkQnANvk0yVA7MEFo0anVmAW8/HFfJjCYkng2LLOL9d80T+NaEAAACAASURB + VBSP5wB/yq4w3OrogM+aOdjMSSq9xZJu8xUavNmxJgZs0cEHxcRHevNFMYiHAqHLpojoqsFIPxr5 + L9J7SjcVwNzXPjlK/QvUlzx9C6YAaIJBCaPASA6gQDJWIjiRjGRxAJ8euPjoAFBQ8aN4A74Xg6C5 + xsuGa3g8GwgCfOTYEjB2rLNTY4M8m3aQBCG8dCpgLTzkJIJ//M6GHe5U4rvE00WH9QrQTuV/yWaH + vnpYJdI623zjP318wFvxW8sfNrKlGPjIH7jxhRdmuvEPvi+QOaWbCmAU/N4EZf1dAEHHrCPcgxAD + u4McFQANYAYyiI9TggageUlRAMh6a/hccxZ4zRiRI6+VeIEhCw/HPSz5oEQh4LGG6MyOnly7Q6CM + 2eKHBh8ibw0P+5JoM3gwk2jYHp53Hf7Khoznj2zBKQ4Kq6TmS/P6klsM9OYVheIST/bphQG/dfrp + RdZc06/YYITbrUjyzYdr4vRp8qd0UwGM8EMMU0hARVIIRLvFejvVUdf9DhgELMJnDARdrvWSZK6e + Q4BzWkIElZx5PMYa/a713hf7gETvfqiXKHoLKp2uUT35AkE/XXTzT8OnxaPXmpMg14rPuwD/IERH + Ml1asvQZw6Olg73swAMnXgnDbx2/OeQ6na7JwmEekVfAnRriZx0WdMT18V3HWpiXmwpgBN9HgQQX + kBQDRQmDwOKpmUN4BFYPIB3WcgyYdgfnOYNP4gWSPtfN00mmIqGn41vSVb0HIckvodliGw7280kf + HrrZgQcW8q6NNbJs0xOGcMGQ3f5FsIoDPww11+Toay575rRs8F1szcHjmm3NBoI9DOE3T4Z9cuVG + b43s+P1+/Kd0UwHMfe0TI/jBOereQqHkA8cw0IhigbRunMPWBabgkeFAwM2ngx7AED304UMctFYi + XaPWnU52n50vSBVUMniNC5Qxu67xwqyFD36Bc63XUMnS08EXY0SX4stfp5Gn9E4xRzD+ZJJLZzrY + UrzRbhtm8s0VB3Nhh8uYP9bJGMMxcV3/b2Nzk9d/lY29v/FZ5nFWMsbAZ+e++m8LLuMM2pl2qeNe + sgRN347F51h0j9TvxzGn6ShZOWIeeHoBNrbGKc1YqwDZcJ9/YD4d8/mCIiCDNxv6iD0tPeaNBQRG + O9jDI8zm0oNv12dtX+cLTHSlh65wmg9H/a6b/p2KszmyCL8YKrB6dm2CGnvhlPSeY+RFm7X1LDfx + /eD8Pwb/S3E+pZsKAMO8z/2NSbT/L916fwEUo4LNoDEDigVQjROACmbv1SUMPzlg8dGl54x5wBXR + sfDWGpn0soWXzitXrpzNv/7tH0FYhaBo6LOuhYMPrlGY9eayb81Yg40ddl07JQS+dckvuXwyz1ax + 4Le3ZHqy5m0UNrOTbXEiS6dxfTr1iB6x1Jx46aWzRidbenN8gFNMzSlOtn7lV37lb82HZb+5FJ+8 + XFgAeObB7xOj7N+ioCRUWeloXlB2wO0ofAUObwE1X8VKIr0cYEsz5kDBsrt8Oin5/v0dgaa3oJGJ + SmLFV5HSaVzPPh34zRnTIyG73hKEHx8/s2eNPIKX355LFKs1fORg2Yme3Ya1rhvzURHWrMMojuQ1 + tjW2NXP5yLbrX/iFX/jg+973vr8dzh2H8U3PADGMwU/ZmT716pikFBBUwIwZD0yAcohMDfgCSbfE + lwg68NGLBFrgHPn+ASX/eEVv89jAR6bgkkXWOM6+JNgF5gRGjz8fCpprgbZGTzw7HuOSTz/7FYcx + 3/AoWrcmvOJGr/f1ng+ss4mfjho9uz6yCH9xJKdFfEGwGndtjl7HPZ/nXYr/8YXpC+l8aR5ZxolX + zU77qXnv/0JVzQkgAdMXrAIJaPP7Wo6WeMl2n3I82vmKwFqO0VOSHKke8uZfq1m73mcRglvBgIq/ + JhCoYOitldQCdBHm/EqeHD5NMMka4zPmV7pLqDnY8MEpHmJHRqHzeedlyzw58zs+ssVTH47iqA+D + eFtHeris4VEAg+HNY/tX5/pTw3KjgpbEyQngqP2RH/mRt4zTH5jA+SfhlkIgOOU6B/WA5xRH8Zjn + TE4BFAEl6RpwgGr0ksWrd8/z8aqHvStz3+/Ilww68KWXPQ2xW4Lq6dMESs8u+/DRG1Y4EB54kIRm + R89+eNmEJZ5krEtsOH2ARk4B+Ecww05Ow6eRg4Ue2CKy5rR80cMOg/ndB/zm5QzP3JL+tfkh6ftG + 3yNj54Gf/Mmf/HS69eduAQI+x+yPDrD7KCiJAaA45RKOGOeUADtuGSXb2hrMC13ASUDOkzWHyNDp + 2LTb/fTZp3tsR2xzPlx6c1HBdb3bwwMbWTwFMpzJk7EOE4ySAk96rSP6jPnCB/pKkHlzeBSCtX7R + 40MzuhBZdtgoBnSIQXbipQtWvTk6ybCjeJB543DjkRPExrQXjo6/MJf/25o8vpwrAO9rR+mfA4Qx + vcZ4wWLIGqDxGPekio8MMADmREHlXA4WKPzAOvZ9rHtldr2eLg4hDp1Sc/SFS6+Zg88tx/1QEPIh + exWFawSzWxN5PuFPhr746IIrvq7hwWMeSbIisCmcaK79tsFzVTyLcV7Egh5xqiDweKh0MsNDXpyd + YE4UvpFDeljFEdYw8WnL15sX8/ZyLqoD1P8M4lso4gijNXOUagWcMYAEUvLwMFbgOcIJ4ASsomAf + T0ElR4eHPIkXLEHDDwe+Ak4fWTqtwWOslQA9PoGS0L60CTs5OvBoXVtnBx5Ht51r7F6OB8UTXzrY + h3f3mYxreOiL14dEkrfbNqaDfs0Yxj7tlIduLWxbYy9eMTInJ3QhfHgq6sFy+J3/Wj28nCuAqbTX + TuBH/hAYSdEo5UyJYNSctb4gqQDwaEjFAoU/sK2xYU1fAuhwCnEWeEGQAPKIbPx68+bIZ6sAlBDf + Y3gKt/NgKLDh0mffWgkrkflFr7n8gIcsmQrPWjbCSZ6cW4mdDA+59MFP3hxyjT+c/MerhxMpHq1n + KfxIPBQJfg2/BpP4jI6b/pcy5wpgEvpKQALEqCYh5lBOS76dIWF4rOs5oNnB5PADkFMcE5z00Ek2 + sBKPlyy+Eo0PkUf48TY2n27ymu/rfVPXlzVwkYtckxE4dhA5eCUKwWZNQI35hQSULvLpMc+vCtM1 + mRLiJJI0OjoBwk3mVB9dGrKu0Sfuno8UF118tMuzhc9tr/ixT8/oX//Dr6Xw+HKuAIbx5SWdcMZz + 0FzJVtGKoOLIWHKBJ7sXwG7cGD8eTVA9KNEdaPN0pTdeOgXMmiDCAY9ru8A/VunrWoGmV2KTTRf7 + ydPRtd61AnN6CCxbjmMBzw4+uk4Jr1bi2faVuQKAB8GCR7JQeuqby3d48Fs3hsnt122KLvP81ow1 + uls7bsbDU+GyeHg5VwCj9EUUYw4AJRyg0BqnBEGS9IEquPqdgKXj1FHzGr2c5Ax9bHFCgtnDg/TZ + suYaFjyILNt2rq9oNZRdhVtgwmqdHva15sm0wxWB3QWT3+l5PvGsQpc1fXL0uW4TwQurXe/Bz9hP + vdj0ljB+143X4PgSttZhNGaPHf770MmYrU4C4sXFGP9R9pBYk0e6sAA4kBHBYIAChcGoI0jACypQ + WonBvwclBzJqHZmnlx4Pf36LKKj0OMLYYQ+/OUnBTzdcx6pea/gE9ed//ufXj1jw0gsnPtd0kxUc + ehRb/gmgOWRds9aDJFm7V2/NBqAfDz18ocNcGwhmMj4FVECObbdMMr5CbmMVD7aNw2GsFSfriDye + +JxMMOEVA5iLv3l2XA/duP+5GjpXAKPgeQWCMmPGKOGYo8+DjMRkkBLKgUwGMLKuNdR4vzaPjy6V + bHfYbRzABzhdkiuogl+wySJBhsffBDz00EOrN0dGT96DKjveOikEOiom83g0OFyT5ZMixEtPX/VK + KGxXrlxZdpMPqzhZl3C8mrG4eSfAj4qEPyXz4M3hFZadim1zxdu8MQz0ux3Q5xQUQ5gQPHiG/7zi + WTstAP+3ievVXOIBFuR2JICMa1Fj8sg1w6ctfj09gNFrR+EtQNY4IYAVnsTklPXw+Xd03/ve9677 + vjl6JI9utxZfHTu+kTXYBF8RsCFBKFkJ967h4fnZl3X8/KLTT77jc2LZffCTQfTDXLGZc8vo3QRb + eOmAjz9kTslc83yNinPrcmMuXxWBsQdfbzfZwsNesUuX/lwBjJP3clRLSNA1FaanCOFBOzigzAf8 + K/XkrJVECS65wDu2ratmARNMTgq2dc265iHt3e9+97r/0cNxhNe1f7Vc8iUANjYFwlix0SFI6bTm + WlI9kLpWBPkLz8NTGObhuzInAVvwS7yiImdMvwIUOz7xQWMTjtoCfHyxfkr4m88Hc+yHP102kjE8 + cig+rtHouEn5uQIYZZfjoZgCwAVDS1EA8eDXjBGe0/l0xpt8yWSnnR8PPeYkUQLsPny+IOK4HSzI + P/MzP3P9LZtCgVeQBOBd73rX2ZVJEB1k2MuHgofXfIUNO199/Yzm69SV1OStw+OWQxdM5sh3oriN + 4YfVPZ9+RYU/v8yRNV/8ihO7dGr0tN48zOasKUR8iG56d3/ESCMzMbvpa8FzBUBHIHJKIAVQMqwx + iAJIcQDMAxFZQztgOjQyBSRdbEisoKCqWKDcg7UC56na0W9OQtInCXb8gw8+uI5+tsnYieyxnQ3X + O35JbK4iwO8vj3ymwPd46FAEYsO2E0ZCnBCK0LWTgX6Y+IQXFn7lB3slmc/ssUPGvJYP7BQ7vK6t + w4SHrhpbTgOnj/hQPTy3PgGG6TKlKGOCz0DXgDdm3LhGDkAECLmcyBFAI3LmcyrdApDTnUB2lXua + oFtzIvj7O3Y4q6fLu4m3v/3t64FS0OE3TyeeHR/75vSRdfrNwf/AfEFmLGkKzimTDJ2OWMUi8fgE + XJN81/nLN7phIhfhCZN563tLPps7f3JhxlPjd6cqmWn+6ZinPQH8b0AWcAApTqGxZt2coGqC5Npa + Mvr4chgvZ3IoO67tJhXfmkA0FjiOCCg+SXefx+9eq6cLj+PW/03UuwlJYBs2ZJxN18Z7b8xmPlvn + m8T7d3k8yPkzNH8noQjo45Pj1ftv1zCybefB08lENz9goTc7+jDRxTYfNfr05hG+fFkTxznz5OjC + G0/82T3avrH7jkrO3QJG0cqi7ji8DhZ/c4ENfGuuI0mUHD1+AI3p2EGS4Wy82c6Gne/4FlTkD0UV + gB1nDb8daL0/YKGTvLkKJL1hdo12zM2VoE4PJ4yTRUEIqD8MVXyu2fEpn2S59SgUcq7p4/Mx+MvW + nhDrrcFCFyKrkS2x4d7x4nW9405HeuM/8t04eggPnSuAuf7yrtCYQiAAZ6jgMGAuA4Ewbwy84JNP + R+B2uV0+3fHT1U6wEyXade9z8UmCebyKwrWCcetAJZHubBlH4XbdGB89qHsofxSUXQ4DW2yaJ4ff + Qx+7rfMzn4tLvrNBTl/Lf/L0FodTvl0WxuTw7X5awxvN+Ibjx8kbT2wzMcLouhCFGkrRfp0znLMu + CAKut2YeJbOP02cOvwSj/SRIr15AtIJjhyC6jR3LksKuOTj4gtja7a3JC152PjrooiOdxpJiDSY9 + vPE69vHAYw7xDW+6zJ0WhbmIXA2ePZbFVk8fvVH2XMNA1vrez/ytT4BheCrjeop2xa3tihlkBKDa + ft26uSidzbkWlP3Yy0G2BBbhIeMab8ExZ42M0wBuxWA34rOerTBc1O88MCk2CVac1ozd880XfFjY + Kfnu+/hgowMm2GBSwKgCsYZH2ym8xbnr+OlG5MqRfp8nE0bjI//h7dW6OrycuwWMkoUwwAweBVdP + EUOIsa4BYSxAeNKBJ2AB0eOt0eep3r02XfRlyzos5LR2ABv4zWWPjCbYBTo7+O6E4qdbQWmSS392 + C7I5zyR8MCarhxUvPGQVjyKCLXww4a+5Tm920oGnteT23jgSM7xk0eC59buAcXQxAK4BraJVeLuA + skBlKEc51+7Qc5AOoJPJSdfk0ic4qGMu4PjxgUYnfdY08+kjaxdyumLZbbOD8F9E+3y8Oz978ejZ + Nldxw+fdgHlF4NlBwYif3kOj25Tke4jlp/n8Zysb9GvWPWDyCx+/KqiwkdllG+NvDSayg/FpbwFX + GQ4UQWNzUcFtTQBKdgbtZsHon0opudbpI0unHgmSZAmaYivJ1vAIrmBoHbHWOnbpRPSHnwysuy/h + W8zHl3xLh+lk0lW/85jLHz1s3h14l8KP/mwt/BIvDpoC4Meuj116NLrxKRi/IbD5PNhKvk2F+IZX + 7NmgS588HnEslscT+9YnwCi4XiEUEtZTGliGEWMaxa0Leh/Q+EEG8AADiUfDQxcn0yEYHYfWssmW + +XYUeQVCToDc7+mJCgp5PPj16cQX/mRO+2T16TZG9DXXmH5z/OptqWvzYpO+nh3gLq47Fnx05gM+ + n3b6oEn8+O2LnnjY0FyHRU8Pu/TQIX7GR1vX85vfp88A6yEhhwgDDjBqnnNdm6McD7A+qdN8L03W + Gp49GAXUWlVt9+DjZB+r9hlAjvnYV4GRE5D07Fjwhs+4gouHDDtdG6dHr5HXyFtPn3H6zYWfb+yI + l7eK+DrmjcXGCYHw0aHos5Ne89kk07oft5D/7u/+7vVZQzrpYxNmcsgaXK7T4RqNvlsXwChatwBK + AK1RxMhRyeoDCyRwJd8nZX0wwrDgoHTqa1Wo402yfebuZ1yOdnJ2OB12QEeowLLJvvmcW0aOL2HV + 8wGx6bo11zu1Vs9GOBuzxW66xCWyJvn+nsGfsmUHRk2iyImV5EuqmFUQ2dIjNjWE37+GhtfH4T7t + dCq6RcDDVnrgIMdmRYbH+vDdALw0n3wQNILrBAg8IQo7tuxWjUKktyMd9b4ssevtUoHBB0i66skZ + c5QTdjIy9g1c90466KdD8ncZNo8OLVuKJV78exAbLyN38EIuWT37YsGWHrHZmt7HwJLTz7TIVCTw + iglSDHyQID0+DWXTmH5ynin4ZYP4EEw+/KGsZ4Pk8MPGBpkeOMvdsRCf9m3guQIAlANA2o05nxG7 + UeLtel+UVHElJOeBrwHKSQ0o83a84Pnu3qdp5q0LNGfoVQR2jGcLuPCwY12gBCIb5pE5evYgrYXt + ZV+LV6+heuMKIP/I1iRD4v36CCbzcMXbHH30wC856Yc9ImueLF7+Ijacru95z3vWLeZ7vud7lo0K + gQxZ8ZIbp6oTx5xcDIZbnwBj4+oeTKC9fQGAEYlvx1LsKd8XJJ74VRzAkonIAp8za/LkhT47n01f + 5LgVCEwydCA2FaAjVqA4pyiN8erZQmTgOKUCfTq/X9O1UzL0N2bHuEYGftj5wv6O3zXefKFfjOIX + R/HFQ67mml7Efxjo0PPfLUGs3va2t62i47Nr+hSJzYL3mPglO9hvXQBj/MmAEOYspQCqVuBUuN0o + 6Xajb+esc0rDQwdAHMg5+i4iTuETPI0ddulB9GRbEQqcdRRPWM0Z54N+t+sa1TdOz1o8vuw68slS + xWUdbvpr5rQShd81vBp+a2IiUcZ0hxm/6/CkJ1l9+iXZtS+f8IuLnW8jevfgWQFWBaAXu8nb0xcA + ZYSAagyw5ulewhWF38y5ZpTyfvnixLC+O8OR5tbC0VFjdqx5jlBYwHJSbx4GgTMXHviMBUCv0ZO+ + ErMmTl7oQfrGXdOz036Nl16thMChmYNVUsShYjZPrkTTDaejWc9HxW2cL8Zk6I2fbjoluWQ6Adlz + EsiBE1JR0SeOZOSFLjLa+HPrzwEG6JMA5DgHkGqiGOU0Iwxy2G/l/eQZj6d4R1RJZxgYreDRw4ZG + n5PDwyOH3BY4BIfm+CNLn+aDFlXOMbzkzYdbz04+sHW7ROcuF8bkrWso+4IseXz3D1bD17eGJRVG + mMQLdpvJRiqmxZle+Omut8Y/ujxjiLeYiLFnLw/e9CgQcYLZumvkmrx+7N76IXCArluAhFBGscTY + 1ZRwRKMMML+K9bZHD5yqJOs9vVsEPsQh/EcQ1yvSmnl28Pt9n2tF48k3W7AIHqrKC645Mq4RGznM + rvaVqEB/pfXm6ZQQevVR+BQBDNZtDPN2eZgknA5+GKeHDN4w0m0u3HiNxfaB+WWSWNtsCt+7Abv+ + V3/1V9dpDBN71hQAG43ZZmf03foWMExP5ixHJNT9RNDbyQypcB/Y+KUMUD64ARQgweCIpJLjBFkN + KPM5mMN0Amt30CFQ1sjgFRS4yNLn2jqnkGvO4w2/8UVkPT7rrvfeeF9vzB78+JMJlzVzir+gG1cA + /IAbH31w06U1t0AcbadPLNzj/aNY3l72UTlbTgI/fRNvbw+dBBFbmrX+JgKesX3rAhjFT0qa5Nv1 + +hSpKg0gb9kc+SoTKICA5jxgfpDpfvXrv/7rqzrpEAwB05BAmBMciSXfL3rM0yVAydpRjj2VT5Yc + GcF0raW7vnn2YKyRa84Y3yldNBcPGdjYCadrPvsQqBPRrcztk642lLia9wzFF2QdNvrCLmH9uLWf + tYuFTVks2fNvJ5GXG7dQdlC28SsCeZlY3boAxomrBZqgneheAoBk2/WOIIY5DjQeers2pzgAMu/a + WxLgOQd8JGiCqQH4zne+cwWQTq1g6AVOlXvGeHh+l89R8jsJRDZOE2sNwaM1XoN5aV1fS0dreI35 + oPEPBjZtBIl/y1vesnyx+8z5XMO6QpBU/GI8/3Tb6o2jsJMVDxuNTjlgi21rSHzIOo2dEDZPX77B + LT5O705xvNNuXQCj9EmVg1nvCALCp05+E+faztuDCIxrgARFIZDlrPeodjengSl4e+DM0ZtMFU4P + RwqwICg+fHQpKLLWUWNBNNZfhNNaBWBckpNbyraXdJUc14iO5I0VvJ3mJ2N44bQuJq7FwxhJmmZO + rCP84stXusTeePeDv3jETKyc2IpCoTidPbM5XWw69vSKQQHOLfbWBTCgn3QfDjwQdr+eMsGSaD3w + ASt4ktbRXID8WRZQju+Cba0xWQCdMGxYs9ut08cOTHjsJkXgDysrAPIRGdd0wImMd+pan72dTxLi + ad51+ujXsiUhFSnfjfG3rtiNzSP6w4gve83ToZgUkoSm22kCrw3VBlIECA98eOhRAPgUmese5Gfu + 1gUwQb4q2Aw55h1DehUGGOAI8FOHyLRujdMaWU0yJY1sfHQBqEXWs0XGmoCQUQzZZS8byXdNB9Kb + Q6d2kgnLLoO/a/aM8bMZpZc8Hq1k4zFON9x8SZ4+a9lI595nb8dNRgt7NultTl/86JM/ZH424fl7 + 5syf+8x0ErQqxFO93aYAKGeoHUc54Dt4oDJqnrHkJK8CqgCsa7uO5ugim07gBY9+PHTAUwLwRhWA + 6zDiJUcfMq4Pa7ytdY2PfNQ6m/CwZ655cubhZa+dqkdhoNMYf7TrSKc+G/GS0+ig184Pr96apLt1 + 2MxI/J3icxLc+gQYg09i3L+Td3wIekb1AsCAI0exqPDdAUYDE/AdpHVExjx97RD6a8nQbwyLe5rj + DSUrSAIiGHvA4O6ajfSGdSk56smWHtFHVkIb7wGnLxljPD07tePZYVOfTdeoU21dHF/SV28aBkS/ + hnZ9xY4MXj37jn/PBzCJrTY5u1HNS9PJCTDOPuVv2AlTJKCUVcECb55RczmRk0ed1wMDaMADF0+9 + +QJ2kR5zeFSzbx69C/CMoqrhsaaxBZNxxDa8e8CslQTz1k8bHnroYye95tpxYuCaDXpg8S7Ffdm7 + IH2Bpz/Cy36xa01vDRnTS7+2X1snbx0Gzbpk96zUJ7eKF368cI/+W38SOAJXgWZUkKt+zjG0gyqI + 5nMKODxRTunpjE9AER3mrHe0W3NtDXjkqd/XzT4+9YB4dGZhSod+Dxi9fDnFgwcVOLYi467DGm+F + 4DqdeDQEk/fh6fVUnn3YUPrJi23y2VxM8wIjHnFnFx+96cZPZ71TsW9kycGiGeMrpnN9IzlHY+ee + AcbAYsDHOMP1nEEUAm/XAhcovOZRRy895ktMoHeHWyejiq3Rab45n3n7jF1l00UP3XoN6a3tjsNH + B53ZbIz3lKw1v/sDT/oFkw2EJ/zmHbcSgbdTTUzCmG7Y00k+bOlzTV+xJ29NT/aU2HV7tGnjTQeb + ZNkb2ZuEzxXACK2tyThFlBRATlPCMfOUUm6cY9aTySh5FJ95BIvgaNbY47C3P3hcw9FXzt5G0kUO + P9Kzib8xHQoJThReuvbE0ZOstWymx1pkjB+PYIfDOn7zKF+dVo54m8bDNFkxSo4+b2tRCTKmC9Fn + vmIOp7V49PTSU75cK4Ls4RHH2lzf+iFwBNdfBjFeYih3LbAFQs9BQBkBlBGEHwhzdLjGg+qTAzRw + 5iTZOw/PIHa73VTi96DQQ05vHrEn8d4Dezj1eYGAZxPmfCGTbfPxpIs+PueTefp98AIT/xRWMYoP + jybxTizF4nMUWPjFTglTJPuJxx5KF1546WveHCx484UOvpjXjLV49Xy0NrpvXQCjeB0RhDjHkEbY + NXLNAAABBFqw4yePrAuCefLkIjrNB1RgPOD5fNw7jHSbp5ujxoJPVpDZpdM1PR6C3C68DfLBEp7w + 4pW0+MmY0/LNGFlDZJEA+uWT08j9lh5r8fkYVpL56ncNenhh9X2IYvBuCR5z/On3D/wsQTsmYz4p + gHC4xsuHituchkeztmPLJ34M340EmBg6dwsgiGmM3wVAjQGUco4A3jVQZKvWAiphHtrw7TJ00X20 + 53IFDb/PsxWA+3c6BZPDZJwO5jVknn0YFYAA4/HRdZ/DwwUTvgJqTB+yRn73l36JRhLva1eJ3JMv + uORgZcsnd6757OTy8KoYPbx6B5N9cuKXvWVkXlyXMGNx40/8+WHeuN6Y3TYNfvE2l29sjE+3PgEo + GKEnpvl/jS9cgRQQBinXKC/gBXNf614sGPQKXMFPJx2aeTzZsIO8jXKNHOk+n3DbYcu6W4QjGaaC + RZdrAfdLGfx9Nm+eLMKH4CVr/uj7mvdClowT6f3vf/8qzHDjpRc+fvEVB/bplgAAIABJREFUFoXn + MxRJVxRuF4paAZMJpx4G8mzr020eLiQudJ8Wb35aUyD4yBd/43w1RmyM3G2dAD5lWR8yM5QCY0oF + RnUJDuPma4AC4dpaRVDwWwOIXi1ZujWBIsdGHzT5UkTAkWBKPl0KgWM1czD0UynHMh3ZiU8fFsGT + RDiQ3hqCw789+PB8+4jM42dDY99pRSbdEm+OTjx2Pp/EAA4+5jMZ1/rsG+NlW/HZZGJBL/t0xLNA + zYu5eOCji16UXv3I3boAGB6mLxUchlKU0wqAQYmwnnPG8TDGAWDwGePDoyE6CrQxO9lybTdJoA+m + JJHO+KzhlWi66bSWfn4Int8s2pHkrcGDL0pn13SS5Qe8drCTxC7ui5ds8EvQ6dsT41pTBLDj82Aq + kXRa07ORPPvHBC2MNo91WBQSPj1ZFAZjtot78bSeLeONnr4ABohbwHVAlGakAAkkA/HpkXm8AeCA + ALhPJ2MO4StYxpwUNDYE20OceyrH6ZMEPMb47Ah8Ass+OWvG+ATRvdsHMvhK6jJ+fMEb3hIfLke6 + Bzi7nL744MFLP3yIH2xr4Q2nZ4PGYoH6PIP9kpqOnnXose7amCweczXXNTzGiD35gRlW8+Izcrd+ + BiA4zKsAjuOlpAqjbE8mIIih2pqYlxKu32XSSxaoQLNhp2reMhVcNum267NnjsOKgDydSKJccx6P + QPt41kmiCMzRARPbGt3JCzKdThUfrCggumCjmxz9Gjlrxqi5MFo3BzfS98ziGYGunqHYPyZo8cKZ + XROuixudxmHOPv50xANLuo9+Pn0BjL0vJUiR5pqBGlAUmtdQ412G8eZzIn4yeI/AFvi+hZRcAbIm + IcY5LRkShaeqz0YFIVn4nRp+oKoAnAQCFCZ48k2B8s21ApSo+X/tXZ+ziztt6EQFWw8TIh8ZswET + HjbwOTlcF49dLvn6dO088KM9/saITrEgr49Xz79Zv71bAIFdmAGN4naZMZ4S6joeY42j5vCwXQHt + 4Iw1fILleC+Bu/6dL53Jcd6YDbL0sOX49izwm7/5m+vh1W0FwdVuxtecJCsu933v9yXNM08fTim4 + nm1gQHqJ1fMZwVL8XFu3xrdjIha/tWTwG6ePTI1P2Ssm2SBjrO368O8YjvxPXwCj5PHdCMCBElgB + AUwQ0c5rDiANaEEWsPhcC2pg9TmAhx0tHebikVy29qLC1zVe14LsJGFXY+9DH/rQSqIE9/xAb0FK + jwR7r+/3/QqAbvwKoA9yPNBFxWX3m94avopSzMQSJv2pzO6b2MHCdnjJ04uv5hovG5r40m0ewbdj + GbmnvwUMsCdSQpiSCOh9lwrcKS9+rQTgARi4vXDopN86/njw7TZzpoCRI2O+t6RkEP2S7PlB4h23 + PoeAxa3AvddfILsfO+rxsMueQPbOAb/Am2ODz3TXm0d6srCFOZ/MRdZgRtbZzK/mrGvssAkfvHDk + p3WyybMBA//z1wmmxbOM3rB76xOA0Ch9AgjkGjHMCfMqk9H6eDiUoxk3p0D2XUCH+QKQ43gkDHh6 + CjJd6S0ArpFkS8puw5wdKyi9jxYoH70KJh39ypkPrtlGHhj7czc6YbBeMl1LBn64yPMnfHQUh2TM + IfokSXHBpuGlH2WHvr0Va36yU9yyQxY/ezDRS5cWb+O5vq0CeJzRXWFGzBd8c8aMM7BTIAAAivN6 + fGQ0162TxeOe7QGswFY4p/phs9ZOYc91QYeJDevmjOl3fCuC5BWKazjoUHzpCh9eRQWTdWMYEVzm + 8dABQ2TNHCwKm4zTyDsT19pFcUku++ljI7/M0Y3SYUwWX/7iMRfN9Q2Ax8lz3wVwYISfoIAgg+aM + VaACMDZHuYaH0ShAHDDG21w6yZnHk3483hax44hut9KLn+xukyweT+iSZndVoNnpGj56BV0RWHev + d8Qi8nQ7MSTILi0BePOPrx4kvROwTn/Hs7VTohOx622l7wcUgkIqLvRrYl7yyIlHcWMrHOwYF4t4 + 6KMDHut86LSB4Sjz9AUwxp4ARFAYY2g3bs31rUC1XgAAQGRLCnAI8EhgBEiAPXTZbXBwsuCwS29F + qlg6Oehmm4xASDofCgYZvL51VDB4NRjyS1/Dzx487snmFRw8cFpXhPlEF4KPHNt8YtPuJ6MYKrj8 + zp9dD1sIthp7Thx26IcDkcfDnnW+8w9uRY336OuNYC/JuX0c+9VROIJPCFwgKZaI/VM54IDFJwCM + IjL4C4A5hvHi44CGB6iA1wNcstnwNSt564gsImtsnl4fucKowcSeNTzxmqOr3YcPDnbwbkFa13hh + 0SSObmO++lxBIhUWvekOqz6cEmD3O934J0a7LbxhZAMe2CSzNb3GH/PsIbpgQnxBeOJzzX5zc3nr + dwGUDNjHVTkQgCEKGOW8ucYFHSjz5LUCRzawgXItcHjilQCN8+YclaqYXkcuuyramrFgkKfT2Jxg + 6DsCzfNBHxnTqVUA1uiBHwakNxcetpE51Dod/MkGHXSTUyCS7ithu7+djycd5Ogio5DF05gPWv7x + GwaydPNTz7Y1fHq6dizGNetT/Lc+ASiYinmUcUcegxQApTGIh+N4KgAAJERirZPTA7nLcsIOtCZh + GlnXCD9ZO07gjAXG7QCRNbfLkA2beXg5i8zTaV6Pt3F+4dt14tFaN65g04XfWDzSmxx9/LLr+eAL + K8kvgdYjWGCVUM8j4kknn83RifTiq2fPWj6HnR48ro3r+aHB9LQFwNg4+wjwhKpwoIAteABUpQWB + LHA18wDrA2MNASMgnKDTOl7A9ZpkO778dMq1J3YkGfSQRXC2W+jKYTJ4NPx0W4vY3K+NySDj/Ahf + eM1bzxaZrq3xDW5vOz1QdltLd/br6WkzibemAMSNXnbDI+7ms80eflRM9QpBE0PEV0U47dYnAOYR + nGeWw/+kATAGAwNI1wwXXL0AW6s4GNVcWwNIEPT4gM8Req3hM6fhcxJ4Wkdk7BAOIvJ40pMua3QJ + nL4iwIsHpniss11zjegkF5Z04COvT5dr2MzBZsdLvk0Eg7nsLOXzkp1slXh69jG79Or7YKi5dJIx + JqcXQ5j0CsCca+PZPE9fAAP6ixKfMiA567qABEqvmQeMnB9uCCBAgk6uqjSOn17X2kVkPuC+l6ff + H1zkqLV0qW7Xis0cWbbZha2E6gUGz06ua2SN2SMbCTQbdCK2rOOnkz3PLrDqBdy89Z26TpZeLQoH + rE4C/oprBcAu2v3HS5+WvD5b+mMuDtWfsenPvQswP1XyKIUUcEBjjBJzyDgHWiMDbA4ZO64FAo8G + hJ5scvp07uCzxb5dhU8g/MBDcugKJzwCU5L05NimB591PT3pPrVLT74VUH1+sEs2zAv4vCgKp6Zd + 74HPzi9up7boj4wrNGN6dzkxhNvJZwz/Lk+P69O5HXs89A49/QkwznwREE4xGjBKjSkSYOuuzXNS + b14PaIQvx8hKnIbSbV0TDISPzoLtWoAVgoclD4UFg352w4RX0OBwMuCzZo4+NtHeZ2stzMvpNWy9 + FVSEdPMBH3sKxLr7vWuYFAHiU366pqvr1sicNnzs9H0AXnP4wkePBov5dJtD5cE1memfvgAG+KMM + RxzhmKOdIcoE1O5SIKi5o5HrRUBPQQLQNVDmEMDaXhBrYV5KMN3k8PisHvluXxFYE2zJ1buWIGPF + 4ii2Bm/6yIfLmAw8WnjCV1DNe0vXNRv0iYHEs2fd7tfjZ5Pu9LOJ8FpHdOxjc67Zrzhca6d64hUX + BUivMYx4EQwoGzP/9LeAUbJ+8UBJhnPcnDHHMwJsAbWmAWL34TPGA4xxvICZ18zv+rJjTZAQLHT6 + KFdw6HFfTC8ZYwXrKHZiuO4tlUJAdEbZPL02L6Ds0ce2nl14NH7aGOwogmzmi/WIPjqynV1z/NDo + rFjjg4F+/Iouv62zA5PkF2s28dKHwnrEcTW7x+vV3fQMMErW/2ZyZ6I45QxrOcQIQK7NA6QBp2UU + eA0VTECTpR/lfI7QX+CtC7Zv7ATHSeCjYDKSjc8u9F0+DH2c7B7a0U0H3bWu9XwIo+KlU5LZ5Bub + bOUzW5qTBg8iv+s2VyxKtDlUAdCpkdXw0cEeWb4oZD44deGyZh4+DV4UPjxwVgxja/3SazFtLzcV + wDj6WImhhEJgCkBBkDBreI31AFWNgJvnULz4Oe26ILkGNFv0p49OfHotsiv89p++fu9HB9vee1dg + guUeqofjlNIJg4ayVc9vY+vxm9Pg2N/rJwMzH2rw4IcBZn0JKg56NrrGT96c5LLVh0UKwZp4W9Nr + 5UMs0mPuOL69AhjFq5QIUpQCgDgiQQWEI3iAwRdQPT6GHWucIN+63SJZ5gUCH3nNHH309oyhx1Px + sYvPTjfft4fWOzI7Tu2cPSC7LeMo+/rmK0o8MNHPrvWKPT/YqAD0rvmMSva+vhbmRUzpgLd1slFY + rLFNJxxIX06yBT8Sa40MGj23VwAj8BhnKdQzbMxhYwYkwBg4awUFIHN7MK2Z56TkODLtGuDI0oPI + GAuW1i6xViKs02dNY4su2ATQdY1cGI0LzN6HO9ut7T7YbZ412OEDneKSP3Tv+E5tulbAZDQ2wl6M + 6WCzWMKlcMmievN4xA7/jp9ea+nEI94VyfDeXgEMyPWTMIJaYFUaI5wBKFB4UIE3r4IDF2C73hOy + +6UiwI+Xfjq7R5MzzxYehEdzL8+OebyCylGN3QrIGrJOXzrX5PGFjdOW/vA5XRD87rX4FZwAI8XB + bnjJpZPvxsVD0SAYxY0ePV1wui5u8OIja8x/vfUKgK5sWUuHdfmCFx42Rm793J/MTjfdGId5VQpl + AJeYCgAQxCDl5gt2YAMlANbbLXaRIpAsZNcibzEll8N0sY0KnmtjtvXs0t3DT+vWFFMJSRc5MkiP + byd8rbem52NBZ08gNWP88NOtiRP83afDgod+yfVOAeYKiK6KwxiJD5k2Wj7HR5dG/z4HD1ySL94V + F17xmfaE8SndVACj5AnKMQPFKdeUmMuIxOYcpQBYx4vHOjCS3a7v3o+3t2f4+2lWtshynE204yhQ + nMdHPttkkDkN4WuMT0PNrYvjdXP1xYAffEL6MFRsfivhd4bedRj3mQk+iRAnOPzls88n6Hftiy4/ + TkF85Y9NYh1O104YdsyFi950FKNwkYEbKV7X+rF3eyfAyD0JXFWtoinYk8OAOcbj1QMNiHlBc9Sr + esQx15zZf8blKd4PPxy15DinZ48NAdQKijk2NPbM06kJNApHgcBDrjXrO1lPv/nGen4IIhkxUbh7 + cbKhgK9cubJ8wMdWWIztarr8O8JOCn9xRK+iER/rNgde+ozpEQvXioDNYlKsrcNW8sUJPz348+N4 + 6zl8NLk7PuObToCZuzbCT4yx+xjWkAADtSekecYYtQ4MUJzSHHk+vAHMzgBGMQiaX/K89a1vXT/6 + oIM8O8YCSKfv1J0gTpISUWCs4+OowCpWOvAVJMVkDk8BgcWchszXNx8PvOwhtyk2rUkg3WTxwGgd + 8RkuuvjCb/zk/Jm7NbsfTn/5jCpoPNk0h9igR18R4LNOryYvCD4xRNZdWx+6vROAkQmeL4RGz437 + KcM5njGgzBdIAeGUCpb8dr11epFjUjIVln/kmA78dDs+rUveEcd6n+/aek7r6RRI8sZ4jDXzrgVA + gyu+ZHdMcJkPY9d84SteseArPfTRrzDISL4Pp5xikp2e5J0aZNjQ8CkEb2P5ywac4oKPPXwKSQLZ + yifXMFhnn6wEG8NoI9BZ4uk94nmc3lO66QTANMK+D3hZyWWwAjBGgBZcxo2BKfF6iQUOGPIFzr+o + rfKtBUpB4HNtni5Oc0YAOWcu2/isk9E4aQ4OGI3tpMau8SFjjUxyApoeOCWvnWie/da7lhh68MNm + nJ/mjOk35h99ZCoCMn484uTquQFvJK6Kgozm2rqThg6+asbsiAc79OWbPBxx314BUDbtixQYE2Zc + ZXGQQb0AWXMNFN6SD3RPu5yRwCrUX+Y4/iVZgOi1I+x++gQppzgKB74SSV/OwcXpCkFA4ULhLjEF + FvYaPahr+sjxBYYKND681tlDdMNrjl2/BYCZv3SWcHx4kqGfT2zorSkwxY5sHGsIbno8K9Djmi1y + 9MBMVi/5bFtjn97iN+P1J39L6fZy0wnAwLT1wTYQBVsCjFUXY3tgJVeweto3pgc/p9wKVPgD8789 + ESD8+ipfzwly1gLPDj2ILg5bC1OB43T4YMaHBEKwXKfXOD2L6fhCJ30aYoePCI4wwalo6WATPmPY + fVvpAVdBw2HdRugoFws4xMm6ePjFkwQjCaQbBpsJ0U83/no62IALHkRO63r3E47ReXtvAwlOML5Y + 8Akb1xgrAXiBF2SAOWr3A4ofINUs+X7dSxeAdpCk90AkuGQQmT2JbCFz+CqIdNFDHz69Bs+ug5yg + Cax52CI+dE13+skg68b041NUMLYOB3uIbn6IieKRUPGASV+hwuzop1tsvDVE+FyzY40Mgp1urdjj + 6bQJk56PZJPBD+PM394JwLFhfiRFrjkEZEcMpcgaQ8AYa0AKCF7B5JS3eRwzpxA6pgSCboA1Nunm + CND0uk6vfk+SNTJshAGeeOCgC5nDzw7d4dWbj2cN5qX1dEg63AU9PysAOvA6qt3O+Mo3fjsB8SE4 + Nb7jpc8tEa9rck4Kydfg4GOYuw2Y4xvfKyxzJR8fnTDBOnF5jK5TuukWQME48wiHCBAGRGX7PUHB + tpOtcwQxyNj99x/+X8CHwB8eijgFnGPLvLEgAOjUENznPc9TtkQqgsMxjAcO/OFh88a4RB3uzXQL + BqJj/rGTYfDFyOHeSR//YNVr9MGtR3h26sSiVws/HjhQeugQJ6cePSWdDjzh7lo8nATkvCVGPith + R5w1MvApYDrZVzRiqtGl4VP8Ysq/TqKwzfrtnwCj6xGgtPnfCI3iG0fgC15wMCxpCKCCAoA2YqsH + WIEASlcPOQdQ7puHtz8C9fznH45yycaLh7yxvnm6JdevmxTk1avuwZ4TBsfdhwIQDPyXLx//Euh4 + dNL52GOHdxjzB1ATKA+yh3cCfBB4fpFH+nb+oUgPP8oIHx5jrbFk2TD08deaRN7Af9jRbFnrnZIY + uCV6jiDrepeBRaKdKPg8a9AhNtbEXeLZrgjmegFTPGPri+FcYI8vN50A5icwnxJUAR7ZBVRMOPfo + o4e3JcDce+8h+QA///mH/38AEE88cQiyxAMr8I65Q+KdCv6JNf+XUPexGz904IwTQDzxcmz1ZxJi + rDAk+xDwp5467GDznLs8svdeOgT7wH/Y5XxCdpcHMAERMP8fRVjaaQLHnh2mcOnkp+Sb42eY8Dkd + tZ3o7a2XBJHhFxtk2s30dPw7BcjgFS+7V/IR/XhhkHy3UD3dnTAln26yTlVzg39ED8U84xv/c6IN + 8FcogCc+eQguzkPF2ikckDgJesEL/K2cYB/eEeBnTDPHYUAlXiCN7Tq7l6P00MERzplDgkW/ADh9 + yPrvkPR226EgFKh5PT66777b7eXwdM4ekvB6AX7Na/y7g/NhCf4pALJ2jh+Z9HYLJhjg4ot2wHa4 + LYoFvfqCrHctAXj5bhMYKyT6NIUWsSMGPfUrigqI7WTIa+bg7ZinR+JdK5ZwwcZuNPOHnyw1cewv + LIAJ3KcFlgJO1RvPl4UD8PB7OBV4332HH2SquMcf99cn/sDz8DblFa94+QTO6TC7aek7HGuAkqW3 + wNJ9sCUhgiu5hzkOG981hVXVO/rvnkRLurUD77zS42p664pRuzr4nnzy6vH4/Lq1+wT3oHsEhuAR + TDas0REtvXONv3HJIYfI8QePeNCFF581raI57tCV0JIriXjoUxiaB0j6xMy64qKPbrrMt663Ro4O + ttkxd4cF8OS8Lynxhw9yHJWOTNUr0TmneiXBbnVP/cI8AD01oFS/e6Dj2cPOlyehigEYySvxdqs5 + WdO7BRw27OG9vMByTEFemlNIUr88BXL58rTl5DHpx1zhxa7HJ0BOr7vmdoPgZdu8ZwY8B5kbf0VU + Qu2m1uqXknkpsXjjg59u15oYkYtXr0my9ZJjV9v5YiupTiknkURaq5icUuQrFLzGMOChU8w7ecx1 + mgzv7Z8AI/i5sTPGDoG0yw9Hk1+/fnY+w/YHj34cobLtYl/g+NBmAj5GgfZWSHLvu+/GjzT23WGX + W1dYGifsVOQ+L0EcQoJovK4H01Me/MaWosOnaBQaEiCnVDLmekY4FOnh7VE7kl2JokdASxC5km6O + bf1O1hFZlE26BV9y6ER4dn3ZVTT4bRK7WzPuLaK4OwVKNl100wU3G+HDA6cHRLdcBXXYsOsj98Mn + SxRsdOEtQAFMKMcIp3wT6Ju2F84D1Ofm84DDAwvg99zj386VhIOT9OL3dq5jCBgFoUD0BYETaCX1 + eNrQaZ3txtY1zgkOhzhufT30zY47JIYcycNn78YH3YcHR3rd8w9zB4x49sSz4XpPNP4CLGkRffg1 + ePAdsB++8KJHcvTW9DCnAy+96dY7nejzIOe7EnrtZn7TlR2xSy892VUsTg/PHfSxV8wHw+2fAGPs + 84f3446rw71E8dvBL3rR4QMeIO00IZ+0r57Rdr+q3gE4LVxzEOBDURzu4XTTYKfSgXJKL4Ds2QkC + 4e2jQN49R7ikksnesC875siy29hxL4iCx6L5vcGnmUP1Bz3dEg9r7MMFj6OZX8aSAwvaE5Ud8/nG + lrFYIJuGn3jp722zovcHp+Y0esmlxzMEWX8255/JN4ZN3wky6u/oXcBUS45K5OHJXjVevvym5ahk + +K6+Hbk8mBdFogoLsGNdcBDAjnfgfGDEGc7O9Nk1b+Xmv4IX/0Hm8JGzE0gCvc3ER8eTV/1zb/Pd + wv2H7+rZovfqnEzoEHhJPQQMb0V4Yz1fb3wZRC6CoeLQw48kwu70Xh4umPRiICkKDQ/+A45DUSZv + zpgcG2RgjySQvLj3C2h89LKByEq8t4duuxKefwqLTjKj5/BPnKb82F94CxgDjzEcjfwoObw/ptC9 + FDiJ4PAhVjfuk95DuzXcf78gCvzhGO/pXhAPn/oJ+A2edgS7QNcb2l2PPPKFtfu9vYPDhzp2jM8m + XvrSl6xguB2tIE0h2P3sX1Zk01w7tQ5vcTl1ww5bJbkAmkMSFR7Xxvw+2D4UAHySJ6Hu4Yc4Hb7J + k0BkreTTUZGRY1NSzdHtGsHU0e65wHq65UDi/YGM5Es4OQWCj6xrNgff7RfAJP8Jzgk0oJToVZsH + tqtXD1/q9H7b2uFB7BAsjjghgOjh7KDnEAAOd2vppBkVi395LTOrHa+OR7fPDhy3cLlfvuTFh1/r + mHv00Rvf/R8C7dfAh9PFu4dL1xyrhy+DFCI867/p4XQtYMb5y7rrgx833vfbHOLDrqfsbk0SQId5 + 9+H46EbL5jbOrngY7wWA37wm0RLsQyykANgwb/crMLmhIx/wOZ3IwDpFcWEBHD5uwr3RHOtXpz31 + 2c/6Rw4ORQA3A5Luc3u73BF8qGi7+LCTO84kkEOC2dM+XjrwoAJjPNNeV8+WwskZcvQ4cT7zmU+/ + 92Mf+9iPzKdnH/6TPz38Iwx23//X3p3GaFpd+QF/i+6Gbtpge+yBjJnxDBgTEluMiW38wTaRLEfC + GkvOmNiJrCiOFBIrn0ZKLEfKNytf4owSJcr2IRlLySRGljIZi2ECMbFocFgGj2HYbBp6YWk2Y5ql + zdbQVP6/e95T9VZ1VdPdQxWFUrf7qWe7y1n+59xz73Of5zWbZqZvzBSmPME1TWp2rD71oKHu5W81 + vCIA3Gsa1NAKJVhKt3HN+Z2iv79nz54P5fxFwrYpi260SXgtWY3ThT/yNU1oZu0tF2075hFYtSRv + WzgANI1Np/zk7ty0suV4uumUWZx9Wmh95TWBg+gUejoEn8W1shwVa9zGggiDkjClMSlZhnLlkdol + sVYBG2HIWyApl1jMlnvGTCvG9XGaK+rTjv47wdDlEchj6Xcvyq0ng/4zUt/cC+mHe56B89CG8qk5 + 2aSydPWU0Op6tVkKaiE2/e5lG/Op6EE/vgGgLT8Cvj8g+M/uR+CXxf3fqDwloKHkVDFDt130VJuO + 5de2jazsJXUCk/aAAD3qUKdjbQCDawX4KqNd11m/vCnrsy+FoFHz4p8VPYDCmc07qL80udMCQZDG + 9KFceJpbqKnuFToRK1KveftSBhA1kwo1M12B81nGuW/9+Wg7zZTgXziSuh9XJtdfzsLKv2cVDsW8 + Ek8lD3pfD5096lAn2tSvLhv+JNd7GxdWuJbyAynFXwmfQtRhn0D4X7gnBZT/Nwp7QZvaowhJm7P8 + OpaUc6/3ZKtsK7bb7Lqc96Z884F/QHFP+dJRgYqXSL5DvMBKaUUAqCDW9vSY2Mm0rr3UBCFadC9f + J/fYWzNfhJai5REUAoz7EqZ4ErJogdRePaXARv9rCTqVD4ofGTdVkJT7f8Tto6eZBgJtE/EYIqa9 + om3RkxBctV+KG5Wt8qfzqV85gqR459oKDbtmis7H6r7rvL2d49n2ZnlFF9pZ7+x1AHDdJrkPTMvl + 3V5BPnXJZ2talXUvwWPElKmdFdKKAJAvTD5WymmFFQDcmwI4DVHU4vVWfjFTaG0Fd11dtupZHHPX + ObVVd+Cc4Gzd1YSxioLcrPRKlPBjDGM0eRPqSfFUEYh/jiU0Aa3Nsa2BMTKs8Kf4KP46vzKO29LS + NlAupMjtNvSErnl50N8K6kzKdx1djy5FYKdsB5OOKV6bjjs5b9rVIw/QKOfYtS4DsNmeRcNKaVUA + hJj93OhstK7SIr6sqogokbteSK5mnE+PskNwW3pfb28wVdkMU4Si7haeroS3yPWjItkw9235p4yH + 5GJefkIrGqttecaWQHaRvkV6+9rsXnmba31de3jNPg/NJkteuIhVPiJ/2p6Tj+DRMavAarFBWV2j + +/KiT5SvrGvacb0V2DQs5wsApjQNOrs+3io0PbdS++hYFQBxbQdyunPaAAAfo0lEQVQQETIHIS2I + Jp5SNdiEOG+GuUblmonqy6vfxUB1q9UFqK/rtncPdhxjmptTHzBEOEfRG1d7UzPetBXdfVaCdlbP + HKK8Eb8s3tdWC7b3i3ervOuEWDSW0tLuntl8jkPzs823vfy2Turpa/Z4ZLmCP3u8AEHzpA7825Yr + UfnOP217yEk+mzLT9pZ7ziZndQBE8I+WoqCZ+1hkoksjmLIkPCJWvKBhZXkPRFJePy8oBVchrl29 + ba2ILgXUfcJRl1m9qaBqvddosf7EA+xzrzdXp0yncvUUEO0J1r1S0KLSu4x71X4B0HX097VZBRB8 + 0t3+zKbkGV9bny3X5eVzrB6bPL1HkzpboWh1TX4ysMkvdd32UzpGEMjdk3XXSSbup45adTpKL/1z + lEX17Yz/nyjLpdCy3lJWESEfIoEAoYixtSKM/Q39aoKoAij3WynKh7eR3/UimlDcqXsLdQaAgJWn + jvXuVWUZfwOOX4SOJ9DQGFVHmI4LTgPTCrWlS+s6KwAt9vsaYUtoaSE6d19y34ZH7WV/17ix9M9r + XY9yjru8bF13d29k2MdNhy7AfICy2mmlDh5TR+fr++p13HU7Vm+DI7dPHABp9EmMqhQBaSINa0pj + JVcNmNItwqoLmCJuNO46EEhN3DhZ+FPCXAzyyhvU7UVlFMPjownvWSg6PXg1o5QwfIu2Fp/tB0jT + iaTUuFAE3Q1Q9JSHK+HJRHDacs9+9przvq8Ox8l338g088d1mzRbprO0TJsO8ppty/WSW0X9g68p + PbP1qs95t+G46e7yQCDlulhlxbSqB0j1TxcfJYhZIsmmG15EWRFToCjPwG0hgqARZ6WQhMAqX8Bo + ocjTI4vuKoAPc/Jsyetqy7lQJkOva+SzKa/+I6PbKiHJ0/TLM9YT5FpUtcBH1zsrSOU6Kd/Wah/e + 5lPXg31/Zn8KWvE+qzz31eFe0Vk0NW0lp1KHPOiQz/XqagewxsjCvc7fNCrTbbjfm2uhZclIZWSc + /lkVACH2+bjMIbfUt6AwFUtuDGGmYURQWDNu774ADqEWjIhSc2kkgkkN2Sigu4Fyu80Qdy1fgwiw + ErxpvAgYNdWfTAhdL1/ai1L0lUWfu60ExdCuWzOvUIxV+aKnjrtMC7jPmzfDtalw5xIoHyXY0Bmc + VvQvH36aJ22qh9xG+6m87/e+29MFqAffZKeuHM+hq+tVD77VpbzrUu/HSf5ELkfR2fdWBUAqfD0V + PU6xiwJtiynCM8SYF3jkKd28R6LGsRFKNithCr2Iw0gPU6hPcNhdR1s6BTmWOjjELIFJjqfMHwWA + Rx55ZG/IfTGzgHNR0Hw/Lla2PYI2pQ44tddK6H3dL2ES6GwiaJMp8k4VuD/TwDXRP5Mx1roNnRQn + Oe66XGvlqMe9TmTUm+vkxfIdGyEohx/PHjyGN8fv6SD5py7T1aOq3nebLob2MXvabc3uFymYvZpj + FWTbH4t5n4YRrPIOnpx7GIKQuOA55z2UISx9/5lnnjEYIHxl0Zi/qQNKqw0MNtGsnmdQlzzuNSPW + 701BsyX0LNFOhBKlH74pAPprAeLcmWfUG8VFd02vtkKwqc7iZTHCX+RvMZAtOioPnsytKzf1fD9e + aXYtijtNW+22Z3mg4OYVHc673dnrygCAfefTPgO7//77vxi5X5v6zwsfP8yj4Hen7JhzGJmnf/Cu + TlvoXfyxw9lMOT6WB8jt+T2EhcgmnmIkDcQDzAUAR/bt2/fBvXv3bo0l/k0uErGi/2aiRgRigZqm + NKykQ3U4LlfmmUO5xiK83Lh2pVOzLnG6drBMa1ytP7qaxx977Hu6Gm1XMAhki5Ms43p4qZFJFDQV + Lt6WJ3S1AN3rPFPLH9adh1LXaXd5imJOIzORPBBMwbKgePc6aWOpbMv7uE92uoFFGY5PzFydV/T+ + MLy8FNnfGzD83akHGFV23epsWnPNbOmK6wEVWhUARdiWn7bbYrGd3NOYfSzuphybEDmS4z8gaILx + fN7TO0leWx9TsHq5fEAoANRcQQWBGisX3ZZLmI5jFTWsGLUt/tn/4P5rACRCHRoFrO5e2hLQa0Oj + IJECJNck+aTON06m57P3cjwf0H+/78/uQ98O/HgIAwSObcqTQR83HfZdN7oo1HMESR02edCaB1/f + nm0rILhVl9v0zu4bXGkzXryH8bOl6/iYAEgld6qoLLatoty3tQDvee975nNvQRBp7Ejc/RFWGxDM + YwjTANEEIYbSdQsEVArG4NLlU9yfssqpR1JvLGJFAEQ4GD0YgQ0tzgqjyyqPF/GKYJDgba63EuR1 + 3O63y6oPCG0R/CMJPB90b3kKfafjcbZOPEjNj7ok17UlPxlRJl5bbmjgAZq25GFos+lZdaWe7ApI + 8vax9nL+QMtvtmAfrwoAGcLonyLORBBrViHLpEBu/Zff+8up/5QFojSc609OXxObo2AbJrgz11mp + fBWc9cxXCVabFOQ+AdoARLtVJu2esmVFAOgfs4DlOgIgWApu7zIVxFCq+gi7YpkSlvu9pR3fSBrt + dpuuqTNlxzAsbvg7QLRSAgB1dV70OO+6irbFFcNNLyW5J59raOiYigwdq3NZm6/Kl/xz2lBO+W7D + tejv9pMGQKL7n6eyhylFZdPGhvtUKSsOUUtmmaK0O/S9VrT2e38WlXgV68ysVQcESZ0IZVGMGqAQ + 37OOlO868FT+EmKc+KqB6969e/6rvKXgdq0FCPW2YLWJRqkFrj30EKb7rkuuccn4DS1zEeir9957 + 7+/2/ZFp5k+UtdO91LegFLdbweSIvn5c7NyxrYEXmS7EEP2UEB1pfznv82nvdfltjFV9DQTtBKjX + zZB31OHyCpdkmK7C/X48wRUQbxkYIsfbOXPxBoW4JQFG3NiuDE9+65d+6d1p3EcRKNxbuv3NH+8I + VvCkv0OsROiYtGFEogP3XcMYJiPYQsTIsfRPfvX7xosv/ivplubnDh58eoAJ3Ra2SENpqZN3eO65 + WisnTtAe9xs+tTEfWubQljZTZH4oEs2uJdD9x1kCdnBpy4tnUdJOFuvFjFkgaQMfaLABnPv4IlOG + YW0fIOBT30/5hnpokyL/nUZeswkAcn6K+m3q5g1DI8C8mPcdb5vNv/z4mACwzi4C/O95M+iKp576 + WQg7ZRCJ2NCdt3MGQ0XdtObkv+bQoed/9/77Hxg/244g7t6LoGef/RcmH/3oR8ebK4TUSkc4pgmC + YCTlnDeaXXeccqsCIML7xQMP3H/Nc8+d9bEwvyMC3xEhbonyxgRKtRe3OOguj6ItSuAhvO2U4HWO + tVpJvC0e6LRTT5vf+Y6dFHNbRjzfuv322/8nRa6WAvh3jJdOQ3vxXt2LMq0kcSwF41nbFnziT7tk + 6zijqsmPfvSj8cIqEE8N5aip8Fwf3ZL6lTtw4MAYngNvwHJdlqlVALUKwccEANRnqLHrvPPO+2n6 + 07/EbUawg0gE6WPT8JLJkCD2J2Hk8Rde+MWveIuo8nH1Wyc33HDj5K677pp89rOfHUCgkFYyYchL + SAQhEaDrUt/fsX3HijHAyJQ/N91002+pU5eizQiFi9mW8iZoQn46lm3btjtO/duT7zS4kidtb802 + pj9zL19MPeWl0PR0SjwaIL1sAgZ9x0pR7GnWJKCXUoCfYpoPtNkCrNGV8qoNFPw/+OCD81H83K23 + 3josWVs8gy415d67vG1lbOpQr4mizFfMJ/9cPl13Ja92rHRMAChooifpn2S7ylJnw7uXuamM6a0O + DnNLEBYAzCcY+/0o8Rs+KzN1q4MBArnllluAanLZZZdNPve5z4019C0s4BLssAp5CZulAB4hYjLz + AccEgDwAZJt6Tp25PsC24tsxuf6mJIpIXLQVvSauOu7AH7o8g5DcB5LT83DTw6wAcj6Km7vhhhsm + 8TC+JHpXZAeUZ6Tswaz9Pyef2fEc5H3Kz6aUHS5T/QzWFjrmTFLFi3x/Nu9Kx28IAIUCgqvTpz2D + CP3RK+nPKWo6JF/iDylu//59/zZMfsPQ7oMfvGC8KeTde67M8FEfde21105+8pOfTL761a+OLoEb + xIT6G9HOK/pdBEAYXrULWInB9byG7gB1K6ezJdbouYQeKzQPUKf3H8EdUNuMrACbxVP83XffLcY4 + EL4vcp1FZ3tf5PXz/fv3fyfd328u5yd1L0yMkV02I5a5yDvFXqjv9C4vNHN+XABI/vm4v3+afvA/ + QhjFQDVLTTrKJz7zzLMHzjnnnAc/9alP/caXv/zl0Y9dddVVg6Fns5Zf1/Dkk0+M8t/85jcnn/jE + JyaXXnrp5Nxzzx1gIUgBl0R42vPsiFBy75geYBR6i/4UALZs48mMYDr4rNnHdEvxmj5IgY+nfvbU + JJNXE65erGWamYHk3nyM7el8M2hnQPJ6AHFPPOElUepXUv/1y1nTJvnY003yGqkw2quW513p/HgB + QCHXqICFSwCgoaQlHsAFBOXe733mM5/5Z/WC4/zka1/7mjuTG2/84eTmm2+aPPDAnjD9TPIdGULw + EsOnP/3pAQbvFqofU/YDAOnJp9c2rAeg2Fj/VorW91OIrqjCkHQBGfq++PxLcc17Jnfeeedk/779 + kxcTeFI+69XXf+QjH8k3pd8/eI3VM5JLdu/e/Wep5zcTINYYmpCniYw6NRDIKfX9oK8fa3/cAIhC + H03/NgVADWUMWULgUQDQYACzKwwNd+QZQEf9v/3bf31y8cUfmVx99R9Pdu3aNRgnAAAQZLWHmYJr + gXbClcLchvUABB/Fb+PqfSeB4zIM9q5gJ6BPoDpAL2CbWu0YYn/4wx+exGgmF1xwAa8wn+5v7o47 + 7hB4n8atpztIjUtT2vTUdgSuPA+PSS+R351Lc658VlJd+d6SqyHgtRDwjMBP38T9Q21Qd1QXoGAI + eCjEjUkVyvQCBwK5w1/7tfdPrrjiislXvvKV8Xk0RKur3aD8kN1Kb0+g3o0MgKlctgnygKC6yHpV + C+2u69oS6Y/gWizEagXXl1xyyeRLX/rS5MILLzSHMB/QzImLxEAx/F9VPumokD7yGI+C1ZPjUR/5 + RV8Hqsix/x63B1BN3PVNiWw/L3gJXcMbpOEVPUAIeMZ0a+aA4trqRycP5usipyaG840B7u7zn/88 + lzf5wQ9+MLn++usHclNuKF97FG8TUQMPBuNNNmwXAACZKwkAFl+E2bZ1kdxylnmla7qM7p3vfFfk + uH10fZdffvkkw+0hg4yk5vAKQJQZQ/DN/seyPUouyxIDTMxZr6aTHzCk3JIZ2mVlFk6P2wMokYUW + t9QQqwJBDSUtGQa6IEVxL3ub12SRLmD85u90utesIGvnGi+66KLxmwHb8xWSdmGUrh0CGP1qJqA0 + ZSPgamHj/aWE0BsA1HwGCgV95Qlqwkk3uiPPRHSJDMFQ+JOf/OT4LL4hcytQHZFvZjXHxznnEhBu + yfGNy7lOvvE7AG390/LWc66ol+XlTwgAiVJFpCHSLFoxFAKMr49Kof01bp8CDQe5eccSYilb//f8 + c/mQ9JnvHM/7Ea9+SV75DJccV7vDGk7Ia43K1unPCP6OvH5qKSFTvGMyqr4tWLbCIZorqJGNSSBf + T2ccRgD6bhvDwm94HwttyCF535tu8nuzrAAJADTAlHMt+e9uOc7mX+n4hADw/POH7lexDzQN9z6Z + O5IGV4wBEJUo9iXPAzBAKMNFxhsMRWfq1QjAtOy789yAm3edZZQr1Z8FCOk3zeoZVgFCWN6wHsDk + ThajbGuFeAFl6hUi+zCjP8x/XkAeIwX9v+8o6evxDgDkpZygf8g7eSPn72Ry6KjVvZHJ+AJo1Tfy + mRr+EVkeTzoha0rU6iWM+QxnYG+y8/TTn6GUlRKC7rnnniwsndthnO9buD42dfqOvNIc4kySYDTz + JmNalLAEgoaNUIyBvPU9ZsrMy/MELCcfhtqwACCH8DQAwBrxQD68nxQ2AHjwR7GGh2Khvk9m7SkB + QDfo4Y8tK5C+7nw2Tes+JG9bf47n0pW84Qxg17Oy9vrusn2mFw+H8PsP5xVsU5in7zz9Z5S4UsJ8 + EHvokUceGQwUY1Hs9DGwbmQqjmnEPD+GgZjUNVA2C2D9BYjkj1DD9AmBdiXa1vIaACxRehQ+FMwB + zCTK1ucbFdiUwWd3jT0qMqUb5X8rI4YxJz9TRR8eVE59QBUAvBK539o332h/QgBAVIi+jhIHWrdu + e3i1BiAyRB/CpPG9eXEuv8f53B3wkEvNE9QHFgegcpGHACIPdJiOJ3WH81g3bnPDAmCqCA+eKGIo + 06fsnOfB8lDykSiKLFyjsPJ0tfrZecsndY0VVcARGf7eanJOlzHeilLOFh1dG3kveUK7WlnXTwgA + CuzZs+ffUy5UBwh3IXC1lGcGB9sVGvNyeRZu6g/1dYenAY8+ESCMDuTTl8o3fdoYYUag8QSsJPVt + WADo02MY8fdlkZRs7O9czMT9j4UwUTTPRvnkgC/HAEReUs7nyCLytd5rz7i47I8yCbQf8sDOs5Ys + UyPHf2N/vOmEhblv3777grJ/mQa/mpUx/42Fr5byPP1JfTe3hjFeYwgiQgnPUXQ95Nm+3VKxUwOA + ermRwDAHMMpIix50/oRBuxp9b/71MUM6hoH0iOdZHngwVm7VMmsV2Faexa964BdwGITjGJrFJ4vs + LyM6i2BuYYS8c+r645tvvvl6dR5vOmEAUMyVV1759cxXf/3AgQODgdUaC/FP8RQYgnT9O0vOWH4U + KUDUtDL08wD9CFU7GNFtsKy8bjPKJN+GBcBU8Fl3wPJrGVjFNNOvrYUXfAqGJflZOTCQk3uMBe9k + Q2YxoJUXH44aJh6t/6+A6g+yPRbX/43EC9M7x7c7YQCo1pjVo9w3SlH88ADyeVtnKDxgjt2Pohg2 + /DmQQLFdYaMXSIa1JN7gNYwS5I+7zG7RVb4RDet5H39R4DY8oZHyKdO+eef6ax1FPegCAJuAV16b + +AEgyCLXV+9jw1zc/+Fsf+Nk+Vxja5rby7VTpK4Cc47Nk1Owr4rbHsqPLpoZnE0CwwJALakiECkA + WGOaZ6k4seOp8rbu3Nm/91tdHA9WvNfwFwuUTMH6b4+D3ef2W/Gif8M/RnRiVJxY7pPyAMfbRKz+ + Qei2uBRzrLwTS3ghoLgt6954E1/3kkcXsegFasLEeQsm5TesB5gqeXQBXLmNsnmDnjzDJ48gNrIs + TP9t8aePQ7vWyZNC9+I53r4AiAWPcFQfB9Gmhu+77z7j2mHdWTgy+cWh+pnZF8MsAAhfCJLgbKJm + 7lMcERxIG9YD8Fh5DLwVvTYE40UMZxjo2OduWTZQCwr95Ky8eeY/ugHBnOlhyiez5HticL1Gf9bY + A7zy1MthhHu3NMoKmb179+ZZ+C0BAKWmn381yh79faaEp8vCTAIR2hDS9PUyVmNGMO404dXGTBSZ + ofFWivbElIJPn7fKt4JCXUEp9vmFuX/u3xpJYO/Y4eMf//iYIQSGgOqhteR2Ta0pln84C0ifFgBW + Hz83ln6ZFgYGrlHSHTjy0zLcImH4LpDInxsVNPEiMtUwssqNwhvoD8BGyVYWh976vr9rhoISfvAM + IMBSXq0WseoqeUC/sSgP65/K5/gH9SchizX1AKw2ys+7+/PvwQz0//qvv3/yxS9ePrHSRXdg2Hfo + +UPjocgHzz8/08u1TFrUzzPo+wWSJdyxln9jan8q/PDp9fUoud7QMfmDB3MdNt/zPz98yuMr54Dg + +Qfvd+655y6AQnDIAyTfwyeh1+MusqYAYAkBwb5slxg6erlEsPOxj30s28cDiBcnt//4jsmevXuC + /u1ZKnZxvMAOoFkQBOHxAgBEWICwURMaY+We2w8SRfomu5A8ZgCjdIHehz70l7Mq6lfHk05rA4DB + dQ+Gemkc4+ERYjRvXw9QAHjtzrjvvyUGOJSAz6tOBEWRLOLSv3rp5IK/eEEEND85K10Dt2j+n9LF + CO0qW+kpK/XphtqbowpgMw80/TGLV01fL77oSamGiFkxPZ6O4tmkEVlYHGMDBt2dWKm6ksNPrSWT + a+oBEB5r3qW/zxPE+cwFzBneeAbO4vX1mNfviQMEQQRSyveouB6dDkuaKn0DTwQG2LUCyLMMNAta + 8AXIW7fWr46Wd5gfQz+AwD+lu250oO+3kUHuPRMwLI6d1wAJaw6AjHHvStCXr7a9Pl6yNObVHUht + yFydQM/c+NZ4gHahW7L8j0fgHu0J1X6jpqYbfQ1aa/7EOWM2M7Tr0s7IJ2woXmBM+Q14w2TBoKen + 9vEAYx5lLfld01EAwiOUF2MFuyldUGMPBDXEqalPQohEhlD8FiDLJyibFUEEVMovayK8jZjCa70S + HstHLw8H3IOfDG1dkxg1a/eanYR/5wChi3Qsb+q7R+C8lmnNPQB3lgDotrjuC80EAoB1gKyaO+ce + 9emHo+yt2V5N/ydwstKM4Dw540YJhBWxso0aA1CUftvoBX9ohVWAnc+PVunb65F3TYsDOF7kY/2M + QheJV4oPMG5V31qmNQeAZwAZ0//J9h3b/87L+ZEnzD4TpJ+RoQ/hCA4JaAR/6QKOHCmLz5ffI5xe + eFqLRgytlN/IicLwwmsBPNC6hkfnhn5mAwdQAvRWPqWTFet3bXp+y1rzuuZdAMbzPsAujLDwQrdP + mNevjPMIXKCVwwTEIghnjIHz+NTcsPs1ASRuAIuNmcLr+LwMEtFsDwxkgCf8VddXVk3RFM4T8A68 + paTrkNJV7h4Ha/hnzQGA9qxR250o8OXTYhX6RNEvhj0YAQDC8dyfcGb166mhf4KosvwNq/uhIooG + cKlnOjtoBYjiubwCPvGNf3lYv+BPHbakh3Nv9dU2crwJaV0AkODmSPryXZRpXrzdo3V/lI55di0m + AATCYgUspgRXCyqGO0gdsyB5E2TwplXRymtli2/EMZVqRRSejf8l/IkV5BcYO++Ua38GHGud1gUA + kJ+g5ipWTNFcnmGO7wx4aIJReXQDZgdZBqtwzQwaj0Hptql1rLVcTqr+AsDrh9GML4AuY1Zd0V6r + oZ1XTGDugxEAACBMefTp3R/ie63TugAAE5ni/EMCIgDWTbksf0wS5di5VEpfGugBTQ8FCXKjJgAP + ePOqVrqAWDpAF1/l1gGbDPDTwHAu4mcQ5FL35+YyKjiu9/v/vLJYNwBkePNEEJ43i8qah4VnhhDK + bdwfy2khsYYOhuzFDjVs+vOyvLblY/WvmNDy5A/YPdegVOBoCy/PUKDg/QSCvEBk4l3AZJ8/lPmA + B9aW0qp93QDA2rNdzSIom0VPLWZQQvGAUFZg7fz4dk7OTQyZY++5gPUQy8m3EVrzokYBFn+6AgDw + iXq84xM/ugCgBgDWL28AMN4FTL4b4hUqUDh5Uo6r5LoBgBDyiPM7hADtmfOH9BzXt3EBhCvkHlmO + fIZ+gNDegNdwbSOngPsgPsJaeKg1AQJbb1Phl/L180ZA9qxf/k65NpdR05XyrkdaNwBgJgC4I/1i + Vn9Zx/5KPh7h5Yha/kzRrIVg2lJ6iTiLIizCE0Gvl3BORgHhI7+1VF1ar/9j4SZ/dHF4QD8w8wbu + 2YC8u4jI6f+cTNsnU2bNZwJniUqk+3qEcs3WrS9fzvX5mmY+xze6BN2CLd8XHO6QMIDCMInHAApu + 0nX7jZpC86Ome2sKfCwTH7EL0HvOIYRFPyBMu8XBJ0C4ns03CVd7D/BNZ3tdAQD5GQ38hw984AOX + Yz7KzavMW+YoFyAEeaX4+j4gC9EltEA9LHkhU6kb2QOkS3soLnx8qtWkkAUukq7LWkfPNvCIN+sB + gVsCCCBIl/D77q1XWlcAYCrC+eF55513OIyfmgjZly+G6wcAip0CY4CBRzBMtPedAYJ9KU/QNjIA + 0m09oF83BLTmoSd6LH5517tqpbN7Rgf1PeMa61M6ABw4cOA/rZfytbPuAEh/92q2a/Lt4C94HCrK + x7yNUFiJYZTxPuVXbFBxATca61mf6OgktRBw3s2VW/0kBsCTGcF3vOOMEcfo9vDq8zn54MbwBh0X + BOgPxyvsO8mmT6rYugOA9WaS49+de+5vfIFCuX0C676d22QJzuV1j8Acu577K36S5qS4X4NCAeze + KPTx0P0rwIt2ga5uzNAPD/hm/byecwEufjP2/1fKrGda11FAMxaU35ho/tUodT5dwfgxhlY2QVhP + z/pb8cqxolpccfQPSHe9G2E/jejHD1oDLz50a67zCrqxn//8qcRCT47AtoEf0BzJa93fXm8e3hIA + BPmHIxCfMfFZ0zlCYAUlsMwMHn41br7mznN/fCnL8C95nsjz9BvXW0gn0t6D+QZgAt1vZci3m2s3 + 4YU/1m5k4ImfN6IAwj0eANBz/j8S5C757YUTafdk875lC+zCvK9g/22Kb7fv2JaUpVV+omW8J+gH + Gu+NUP/h7t33/YPHH3/ixN5/PlnJnGQ5II6SD8ftfzeLXS/P3s+6LXRn7gOEuQITRN215QcevpDg + 8Q0/7nySZK1abN1jgKYkrvD6888/39cvtuj32hVOvcGRXPvTRx997L8kKv5erONx198uiVLjCZ6K + xefDnxf+8yz3/p3EOgt8AkTzi6cYwHcz+bOuwV/Lcphbn6znnhDy9bD/nfff8+se236aIOlPEgTd + HGDckf3D6TdfI8i3e+Ld8rLnORn6/uvwcnl4Gt6tF7lEDg/HY1y8e/fuVX+GZi1l8JYBAFNAoJ98 + O1n3ySpDAHv22fkk5Fln/074viw8n5X+/7YA4h/ly+EPrXf033y8pQBoIv5/2gN9P9rmHQSDZkI3 + 06YENiWwKYFNCWxKYFMCmxLYlMCmBDYlsCmBTQlsSmBTApsS2JTApgQ2JbApgbWTwP8DR4LHOzRW + X8gAAAAASUVORK5CYII= + + + + + -74.686264 74.764481 0.000000 + -149.450630 74.764511 0.000000 + -149.450645 -74.764252 0.000000 + -149.450645 -74.764252 0.000000 + -74.686264 -74.764267 0.000000 + -74.686264 74.764481 0.000000 + + + 0.000000 -0.000000 1.000000 + 0.000000 -0.000000 1.000000 + 0.000000 -0.000000 1.000000 + 0.000000 -0.000000 1.000000 + 0.000000 -0.000000 1.000000 + 0.000000 -0.000000 1.000000 + + + -139.767975 0.000000 0.000000 + + + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + + + iVBORw0KGgoAAAANSUhEUgAAAIAAAAEACAYAAAB7+X6nAAAACXBIWXMAAAsTAAALEwEAmpwYAAAg + AElEQVR4Aezda8zu2Vnf92fvOXl8Nj7bGO8xB2MzYIMRBqkG1IhAqr5q00hRqyhpk6hKaGiavKhS + KUrVN5VaqScpVVUpUtsXFSJqhCK1KZGpAEMdsMecjTGYMcaAj2B7PAfPHu9en3Xf373Xc+/He/Y2 + nnnTuUbrXuu/1nX4XYe1/v/78Ow5O3uOnovAcxF4LgLPReC5CDwXgeci8FwEnovAcxF4LgLPReD/ + RxG49Gz7etddd73yLW95y088+OCDb37lK195+Z577rmEnnrqqdUPnktf/vKXL127du3S3XffffmF + L3zhpec///mXnve857nGc/nJJ5+89MQTT1x67LHHLj3++OOXPv/5z1/+1Kc+deknfuInnhV/fuiH + fuhz3/qt3/riwXV23333nb385S8/G1/OXvziF5+96EUvuja+nP3pn/7p2Sc/+cmz3//93z/7kz/5 + k7PBe3b58uVr995779n4sJrYj59nX/jCFz77oQ996D/85V/+5f9j+K49mzm5+1k0dukbvuEb/sY7 + 3/nO/3ECdnkSeiYYgiUIgqKf5K9+gnU2xXGGb4pgtSmA63CvXr169olPfOJsiuBsiup6QK8zPIOD + SfJ9o/6aalQAL3jBC1by4RhSqGcvfelLzxQIHxTBpz/9ab6tNb7y71gU+F7xtre97Z++4Q1v+ND7 + 3//+v/zRj370A+LwbNCNiD6D1iZgr33Xu971f37913/921/ykpesJH/pS19aAWBWIQiIpOo1iRdE + u2vk106bnX89aIIsuIKpPZs0dhUAuuZUUgSSXwGXYL5WHH/8x3+8iuBzn/vcwgs7f/g8ck6GSxMf + p+JDv/u7v/t//9Iv/dJfm5Pjjw5mnrnXZ7oALs1x/6Nvf/vb/9vXve51lwVG1QuWpAsYEkABQXpB + k3RHql2EjoG6XiBODvroSM9ifBZeOrXGrlvV8kfRNm+sQJ1O1hWyU+y1r33tmQL4zGc+s24L+TV+ + uAWeze1s+f/t3/7tPzzx+vgHP/jB//6hhx76B1Mojz5Tbj1jBfCqV73q67/v+77v/3rNa17zoIQi + x5rgCEpHvUK4//77V8LteonHrxDis1M0CU+PgEXxdf1s9XyAi30J51u3o4rBtdNu7vPLz2/8xm88 + e8UrXnH2B3/wB+tE8KzwxS9+UbFcm2JXC4t/To9L3/u93/tjc1v4mx/4wAf+3kc+8pH/aex8ze8L + X/MCmMRdesc73vF33/rWt/5XE5TLdrcAtSs4aDcLniQ7Jr/u675uNYXQjndSCBx+wU2PXRIpHmt4 + 6Hs2iU/saoh/SMJhUQCKQ6+wrcMu2Qp8ErtOBc8G2sxfP03I0z907Y1vfOP9cxv8x3/0R3/0D3/x + F3/x35tbybstfK3oa1oA3/RN3/S6H/zBH/wXk9hv50D3Rs4LlIQKiLEg2Ak9PUt8fAKAp8AVXE6X + 6BKgr32tgvJ0emDTEGzsSzYy79q8Aq8QFIbbQDxi87KXvWwVjDh4p+ChVpGIxchdm3hdevTRR5eu + ie1r5p3Hv/yd3/mdh37u537uL827no8sZX/Gl69JAXB0jqu/MQD/8YzvruolWWAEQwDasa7t/Fe/ + +tWrF4wC14Og5OOXcI0ePHa8QtLM7cH+M8bijsRhqcHyyCOPXE86RXDC7zmAT5IqThKK37iHRKed + 8dw2zz772c+uNnzrRLAmPnyduF76lm/5lne86U1v+p3f+I3f+J9/9md/9j+ek+OxOwJ+wvxnLoC5 + x9///d///f90Hlr+jZLFWQnvvieZiqEjnkOu9QLRDm7XwCi46XONT2FVDAIjKK4rDHzPFsEcRsmv + YEsubAqAz+bgrLg93Lp2/7eOT8w0cVEMbgveObChaCbR6xlBYQ1devOb3/w3pxD+4k//9E//pTkV + 3s3eV0NfdQEANg95r//O7/zOfzXJfj3jHJGkEtNTvKOOUxws6ZKNF+kFVJIrCAHSkDU884HPeooW + cPdSx2V8eJ4lWjszTJIrFp5jEJyKQS/h1vLJujEZ8pKt1/BKrliJm1iSVQRori/ZVPxObnS9bD6U + +pdTDH/vve99738T7xK4zZevqgA48QM/8APf9M3f/M3vmYS+mq0CArTkSrR7nsBwSs/BnOWwIOHl + mLYXBZ3m7HSJn3ve6iU9HdbaXew+SzSmDvd5hW53wuep3rzY6CWJv3yEN7JmXuEat3PxVMx0iJdC + MvZs4NZgHb/bClIUYjYnwX89RfPeH//xH/9/xeRO6KsqgPk07555r/rPJvivloCSB2DJ92Cj+SBH + MWjW950hECU/HQKBR6I5ynlHpffO5gQNL12KSI/MP0u0Ps+oCGH1AKcIjPnp+HY/94DriEdwJpOv + FYt1a1oxIodvHv7WRnIqSG5xEHf+i/e8db486z82G+6ZLwDH+CT2788HGg8CDiRyZHHIuur1oUef + 4gHabSFeyZe0EoenAEi0D0y6DyoEzgtwwStgenPpWWCewZexc7egowqQbf5JCupBzq1qnpHWZxsK + A9Zk8JErftZQhU2XGJHzsGwzkf293/u9s/lMYG0G19Ou2SATs39dDu6U7vgE8EHNJOKvq3pHfIlw + XEm8pOvxcdA9i1MahxQJco1yXJIlHb9kd58X2NoSOL5IgqYoSsjYu2v03fiEaBf42o3vY49vNUmE + QwL4pYDtVH4oBkUgiTZHmCWPX2ToQdYqcPoQXUg8xc+D9cMPP3x9swyfL8MU3ysntt5nPrIEbvPl + jgtgQLxhQL3JPQ44oICbdwFn81n2KgpVK9E5ySnXVTuHJV5PB6fsdkXl2prg2QWa+ywSwI7GgiaI + FdiwqK47KgB6wlMxsnUL8nHd8oVPmmTp4YAX8QM2fihqBaEIXv/616/nAzLik20y7NORfnNi5hq/ + vncNxm0Ma8cYv3ZEPkzudumrKYBvtVslmQMquKd8O3/bjSsoJb55Dua84Hi4s0v0gsaxdklFYM67 + CDtJz66gCgAs2rGgXjD9jY8KT6LQKTUF+44ppn9neN85eF4zGB+Z8YdHzz+fYvtnk6zHnUAX0WB5 + jXkY+e4U5JPihEMR8w92PNYUOH0a7G6P7XzrkoeXDyW6OIizscLCa7OxhfhPXzLjx+tm+pktgAH6 + YJUNHOAcd3xzmmOak4FDGuBA1hcoR5fvzCW+nd8pEa+k+TLF7nHKKDZyCkZg8RXYkX3jXH9mRWd7 + oeM7vuM77puHsr89GH90MD9QwMkL8OD87pn7y4P7kQn2P/mt3/qtf/ixj33sc5uaNZwgP8iXvbAV + /nxku3B9/OMfX0XAL+T0Yh/94R/+4Sp27xjc03u7J6FOVDhQcROL8JkXV9dsIzgUQDEe/jeshTt4 + ueMTYIL0ZoYVASCMA9GRx7bg1gCWsO7vgVYECqbkq3C6OK0JmmRLvB2jCNijjw5EhryezATxz88D + 0UNr8fgyQbt7Pqj6j2btPx39r5zr9dZJsGvDur7bP/r1wjlm/863fdu3/Qdz/bcmaf/rrm+S/WMw + 8J/N/MHjhIKVvx7U8Dj68TixELwe5BQBnyTfsW7cSVohKHAnXn7iEyOxRcZ0I5iG3ALuiL6aAnDM + rOABIgjteCAkleMIOLvTUypnFAr+AqcIBCYnyNBpd/Quwu5y0sSjkD760Y+uwApMwaF73nr957P+ + 301gvFH2Pf2/OR9P/5MJ3Mtn/RKcdh0bxkiwB/clegbPNWOBnWJ7wdyv/5eZ//fnFvV3Z/4PRs9f + mYJ8B1uSTAYuPlekfIeZHb7R7wOa+Y5/xQE/uaO9lThjheHUgM0xT15B8HePl2v88NsQxRrmmVsf + yC3HbvPljgrgWJkv0QMFrKPeWPIDx0nNnAIAWNAQwNb05vDo6fQOwjEvmN5DSzzHrOETJEesRm9z + 9NM5cvcOpg/O7eH/mZ3zF+b+PPl69dJBD312Gjm49Xygn44JqJ+ZXd+tEjEfdv3AFMBDeF1LCux8 + lXjY7XLrMMCf73Yvu3a5hH74wx9exUCGDgXCHlwSSgfyHNFtQRzExS2CXrb4Ti/s4Z9rPyp5Vgpg + faUlaBHgQHGsZAOIzBUQ1+RaEwTXjnvB8qGHr0ldV2DkNYFyD3W0uv+TNUcX+/QI8si+cZLwVwVM + ggSIfbtlL1ZYCjhb5PHg5YteUiRH4q3hZw+eku908yDoVCJjzbshxzWe9DjVJNXp8Nu//dsryfSx + gcQN0U/GNd18VQTkzM/XwstvvCX/OPZzs/WA6vp26Y5PgAnUfYKlBZajdgTAnAIUOISvXgKaJ2ss + UHb8Aw88sBztNBFI/IhujguygNg5JUFywmNsXgKby45Am4PVHN1hU0zmC6i1SfiwrUeDxV9x4CHf + SYGXPQVnd/7ar/3awuCHH0gxw8QWfFeuXFnF5HeC+NkNB37xw18c9d4lHTGtwjcHA6qnY9pL1uQd + vNxxAYzuexmTZIFzLBsD5bpASWQkCOZzVDLMCYid4WNTfU5by3FB8nGw+2PJZ4dNNtIlAY7KPks4 + JvTaJOwS23TiFTDX9NfghM16BTg863aAh4ymWO3iClwhSoznG3P5N7/uvb5z6eMbX+liW3G4NVXQ + ikkc7XoFBrsiYJO8a7bIFnvr+MUC37EwD+8PC/xt9HdcAAPAb7OvO8xxgdNX6RzFA5R5QF3jE0TO + 2/WONYFJHz48nJJ0AZJ4J4AA6NnQ6HbbcE/Xa3T7QEnA6JiiWN+gSb7mOBdQSaOvxIZVgK3RradD + YioCc457xSZp7HgeqSgUa77P28jlAxkY51li+Q0DvfTM9ylrdyta/pL3tpEMol9MIuPi2Txd8KOx + fXi/mcBt9F9VAdAreBLBYQ1Ywa3hMRZUvBI7Pwu/nngBsM4hhIfj9DjuPTXb8XaXxlH2IkH3qVr3 + R9duQfHQLTDsWxN4+sPNbonOh4KKTzFJvkaGLjrZuzLHuLlOAA931ugLK5ySad4cnWKgePRs0qFw + xcJm8G6hzwoUu/V4xSUsfKSbPXqyPfz9WrkwPW1/RwVA2wTCX3IsxYIoMQAUbNec1RCQnJZ8R5+n + aGsFnZPkXXtrZdd7n2znCzBnOZ9NvSTYUR4aC6jgkKEPwaYpAEEk1xweGFxLOvuuzeMLmzn4YQgn + /I50J4BTTPLYmF/oLH3tcDrhR5IlLq7FgJwTiQ6yePlhzW1MQbnvOzkqIvL5phcTtuDLt8F9+IRo + Wb29lzsuAEYAKCCMI0ETaM4UUDtPwOzUK7NrOE2OTIEVBLJ2iWNQ8u18D1bW8OO1I9sp3in0mboA + WhcgY0Fhv4BZ09gMt4SGuzCFy3X46DEPH32KZeejp3ctkiVxTi88cCgwmMkrbvropksRwxXh5aPn + IcR3BS1mTgPFmF/GCoYdGMzTNTqe2QIAfoK87jOcaIdIPIeBBci1eztnfETKWQGyJhjIblDlnOxa + 8ByD7XwOctTxSFc9fZy26zkOix0hiPTroxJNl7VawW995zdnXSNXoNmrCOipQOGaX0etE8m7AIXQ + yaLHCyOiu1iVRPFiwybQm6+wJN8pgAdl07hbQj5NfA8/PrB4m3RHJwBDQ/dXye04gBWDBKvi+ZnY + OvIdlZKBv6NMUDvqvRWy0zktUJyVfMHisBPEx6veV2uKSvAKPjB4BYV+OhSCOTrZOk0wGWv1+dI1 + 3eYQ+cg8vWxUaNb5zb4eVoWu6Od7hFXMHvBgIq/nozV+41XMjn2+0e85RgzpcKuwKczTw4ZYw8AH + 8+bg0Aa3j7nvG1u3/bOgOyqACcZ9Y+Sy5DBYcBWC3a9/YN7Pf9d3fdcCKpmcATQC3q6fHzKuBx4J + Vcl428V0c1SA7HzvGhSTxNBFBx7Otyvpdx0mAW+cbUHTmteX7L0oGscXTz675itiX6GSYROGnnX4 + 4EMfSYfZBlEsSAEoBKcH33pAJkNXNjzrsPue97xnzRd76/h2rNbG/qvG1seWkdt4uaMCGOUvl7DA + MdhDkGAVUH1VL2ECE1CBcPT3oZHEC4pGruPRjlBUrhWRwKbLGOEXHHjwFZzmBdxYgyFyXQCNkR7G + rnde8+TTkU048JtnCz7FALdm3VO+WCiUTg62yZkXD2t0Og0UAKJTkeFzGngu8ikowquFqVizPafG + 358vmv6TsXXjPrikLn552gIA4Jjw54/yv+7jVoYEXGAAwdMJwIxAmLOGBxYBQhx2C+A0Hvc9jgiW + RAsA3dkokHRqkkzOODn6d3vsuG7eGA6ttXCvieNLfC7jbcwWIqfBq+WrNdjciviHPKhW4OZLVOPi + o/htCLxirbVGv5jM31iuh2SyFRCM4jqxnOE1f0J/Nt9i/p0pmH933k7+D3P7+N9H54cHysHxher8 + y7kCYPTKPK3Pn3W9darxH4yhPzfKXz6BXE/+RBkVjIAySk7jiIRytGC2hl9CFAAnuvfTaa3biCKo + AIydMHTRjQQ9ncbhoK+igBGPtYiOmrl9XHLNkdPT0bXxTvnSDiWvkTUHV8QXc3xJDk46Jc+ceBnz + 0bsmeujDQ5ZvigBfOsKPZ/jXB15s4J/bysvnlvKPhucfzfpjU5A/Pm9T/4uHH374I3Kw0w2kMzu/ + Lz/74R/+4VcNmJ8fgy8FyE5kRJM8bYDM5eFzcsADDJTj3VHlQRAvR4AWlBw21pwCrSkkx76EswVo + svQj+s1bN+ca2Xka5wXcmiC7xhMfXmPyUdfx6PcGHwpD4+ToMmYrbHzDr0kKbBWAJOKjV3yMxcHY + yeHbRPLmix9Zn4/scWlc/PmNT388NTnpofD+eZb6q3Ma/eFP/dRP/Wc+YNvpXAEQnPYXJ/AvCbye + EQEFiLMztz4nN88hPCXSnCLw4COh+KtmPIDTg898gNkWKLrMI7KIjDlrmusjjnWNZ7/Gk6we/1ei + +NKhv4gfXy37eMMLn6TpKwD84eV7RWodJUPO8a8AxMa6teRtRB85WxNvsYPBtWZMJv3HjXD9D1iO + G+Eem/mUzhUAxlH+fcO4vkDpQYwRR7un947ZDAPDyaPRBcJn2t6+HAvqnDPk3AJykFyVW2A4pO0B + KmB7H5++YMGioeYbr8kLXkqsJWNyF1F8Eobi04dV7/p0jSz/+HuM84qlWCAF4KgXH5siefFWGN4x + WMNX7I+nxPrHJehUAJp4elYzFgs5mlPmbvOndK4ALA7QbwTAWzBvvxzJFHgfqi95xjlLzjEGEEc5 + ogDI0mHODrCumjkUL0c7PeihM2pcQDljTN+tCE+FcBGv9VOKL9lTHtd46N2x0xNvPT7NtQTushKF + OhFsLDrx7XrzXZx9wSVuYtwtRE/HyF7/iRu9drm3lVpF4DSefNzTBsMXnSsAoEfoFd66UKC3i82r + JiBUH6CAA4c4aA5o/MA5BbzH9dYmwwDj5Qhe83r6BcGaFhWEbOxr8dYnU0+ndhElY33XGa/5cKUD + H4yu+S2Rp7Kure8ypwVQDPDSkR06s8GOeZvNx+M+MzEWN3x0sHEsgPWAaLNJuA3nOcIGVgzFfjbd + PfhP6VwBMDrGX+yJk0ICJVlifRrXsSLJCkJjBJ9WgZhTeYDmkN58waviC5oAFEQ9ItP8fr0Wjy94 + CnrjZJrf+Y3Tv89nq6RYC5uxeTGBmw/WzKH4dntsWNfv8zsvmwhfY30x9fDnB6SS3ymB3zosjnWf + JLbrfXro2imOyOGbzXz9S7y1cHw5VwAcmyQ+j3MU56zEMqiqrEl+BeDWwEGF4P4EpMaJPgYli0dT + QJoxe/j24MBlDZkvKF2bS1dzrtOxyzSfPvw7JWNu521svWau+b1wzaH49r7iWAzHl3TU828vkmTE + TKzFVBLZJFOzISXZCevzBjvfCeBtpPjGLxd0zdrTF8AR/L0UA6YAGCyBwJljhGH3crySjwDFo5mz + 7lbhYTLH6FVQbBUE/V4MBTH7XZMt+Md4Lj10WxMwlC3jbBhfRK2HB49xPb14ug77mpiXeOGKR0+G + rJZu/lxE4dWTq4mho98zVX7T4daqyYvk2/XdahUGHomnpxN7cF//LGfHcO4EIDCMd+2gOewagBQy + DhgjnLPGEMOcMOdWALjbgFtHQbBu7ZTM7wFit0CQ1dK/89GDz/peqM1bi9LnGj9K1jjs1pLb+cSh + eCSrR2TRKf+OdR8v5nlhJ7vi4mRlQzE7QcWv6/IiyT3p+5jYBuvID4deftjUBteFBXDj664jmAHk + DyyvOxJocxJNMWPuM76ybB04xCjACD+nzJErgIKkJVNfMJbw9hJvfK5R/T6OV5++/Nn5dxnjneLT + p6exXqHld3Kw1czxl12k38drcl7oIENfCQyr2DlRreMTU2sKg27NSawYzOOnB794i7t5Dc36hbeA + cwWAcRz0T7Ved5xCTlOUYXPuN542A8coHkDx4XGtooHD51pFVySukbXdhvmu9a0ba6h+H6cvzOE9 + 5cEXr7V97PoiYg8f3fBkY583Dlc20r338ZkTG03cNGMkbu7/eIpdRaBHfdBGJj7zxdc4mlhc+GOR + cwVwTNyKMIUaApjDWvPGKs5bRXNAFJScMA8MeRVOv9tCATzVz5a5PYh04mereX2NDHJdwlsLV3YO + nIfXeOrT4XrHYB4GDZUktm6X6NwpPPyyo/UovMZi2K2yGLRu10t+cukQ62n+9dIVb3LZnv6efKA/ + uukZABNDOUhBzXxrevMePvywQ7V63ylAji5k7D7mQyH3LMn3aSJZICuagFY4gdNzsvVwtB6e+grA + Ol5Ep/WL6KJ5cuaTJ1fg6OeTVnys4z29NpeOfYwfhdk4PnHztS+fPQCK104SLcZOXvd+hcAufk0B + 0GueTgWkNzc+XHgCnCuAwDh+TmkHbBxonxeoxj3pgQFA8pGEe3D0qZZ5iQGeUwJcotJLxnxN0KOc + Ig9LupLpmuxFlDyduy/4u9ZH5ukU4L0AzPErGXr3Rt713u+8xmE1tol86OPDH3ZOY+W264F6/k2g + 1cOTPbFovAzOS/6wMePbK4ABcm2qb/2xpCf7iIEI2Byzsz2M7Aly3LvXk/E9t+LAr6olHCkSAF1b + AxIZ04+q7GyZy3bJN2es4dO6Jp9sOvEjPKj5+MyRQ+kzTmfzrhXyKW960ot/H7tG+MiKlfjQp7dh + OgXotxnx2fmSP/9O4HrrJ+5kxE/PhniO3vUlEBuuxVWhTH83vlM6dwJgHqPXGJU4Hy4ggpQhvSbh + gAHgNpAhQMxJNoc0+ujQOMwxOpwePRsISDw5k63sWkcF1LWxZow/2cU4L/SiMK+LeUmXazL4ataS + a4fvc45Y/tbEwZhMGMJk/iKyjsTKzheTThg66ER02ojedT0wP7dz9CuG/MUTrzHZHYMx3tF/Nxun + dFMBDIgvz4c3dwHuAU+lIUYkvUDozTHgx5B6MuYkXQG41shxztqxGlfi3ToEAE9E755E467JRuZc + a4qInp4rSmR98vqIHYTHfDzmrPGn1jqckhWPeXP5kD3y5vXwJb/bNDYPswR3gu481umWB4n3oU87 + P9nsZwM2ONLj2q13vqK/W05O6aYCmOR9GSjNKSC4KdcLCgOIcWOJ9Vk0sD75c4xxCG8/+8aP1xwn + 7H47CR895unfiRPWc8Y6G3jNCxx87KtuJ01F4do82V2vMRxa8/SF7dQ+PdbY1bMhkLCTY4MePoiZ + OWNzsBgj1xod5uB3Db8GZ7z57DsZt1dHv+TbMPTTi58uDZ32a3JeFKxnisnJXTbmKZ0rAMrH+NVx + 8D7OeIJvdwPLKId3ymEB8gte3xdIbkAFCwigEfkSd7R3PTAFCJ+xQABNHzySQQ9b1uOzhreACwY7 + 5kvGYj6+8AMVQLo0eMx1bUwvKjkweDqXHHzp4pNxQbaGt3U6jIsfWxF/2CFjXTPnqOe7uMqDa5jo + 4Wt85Myn07XmWvz9QGeeKy7nQ3b15wqAkqnsJzHayZKJKAqc6z2o5gEyF3hgOSRQ+sDhM+aIAEqQ + uebpRrtO3yfAYcfh57hgO0VyiE528Nqh5s1F9O2NP9a1bMfvunXy7PBDMvlHj41hZ7qOGpOHFQan + H1zmULrxslFcKx66+WidDsn3HCaefd6Chxy+XUf+WI9gEJM+fMvH1vXnCoCjY/hLqligBdMYGAQY + JzKi13KesyVFgPym3f0HT1VOL+DxJWuuQLFFBg/wThBFU6DowCsIsOF5eP7tvD6PgIPeAsRx+lCB + am2ft3567a2X5JNjk21BZYNtGO0ya641vDaQ30TgRzsGNlx3krkmr4eLX5LvO5cHjv9ugnm5IBd2 + 412vMT3ZgxsO/cRvlm9sisU0L+cKQGXPff9RVQ+cglAExojhyBznc4ZyQc+IscqVHH/x6q0Nfo1O + 84LVsZbe9NCLV/LtJPdJRSUwHJIABOP8H7fO5n+vst43C4BCkTinDDlYCxq9aMcd5uZ3XvJ903aM + zzqRPB/13FMc+AwXX/O5gqebnd0W3a7bJOIuJuYl3wc+TgBz4YWNTAWjZ7/NgQ8O8+IsfmjWv+z6 + lM4VACAD/NHeagg0osxYIFUnysgOxpr5qh6/U6AEdJTShafAXZQUc+zaXX4QYcx5D0IC4loR+eDE + X+Z60DGnoNjDJ6BkUPLGbJcYmEsKm9nFJ5kaffnLBx/Q+Ps/+EsQPfyy6/1+z33XjsVDFg662drt + 0c9HaxIPt7d8Hvzo5g8eeOnDRx97dGp8Q8VzXcwLfrrhmuQ/qYBP6VwBUD5/nPl53/KpFsYRAJQD + zrjeNSqwORUIwMxxiiMqkZ6qvcCziXImuTU5L/RxwjsL+kqsgPi1jD+9shsLCh6NHJv65uiElz7B + gcHO2ROEv4Car4jogtWaHS6oyTpt4JF0BaA5es2RoYOt7Bunq11JlyZeCsB3/PRaV3R8lEDXTjY8 + 8uNEJBNmNtLNT76L6dy2nqTnlM4VgMUJzGcIAi1hqB3F6QLKoHVK8VvDR66AMgycpHNaa40eBKzm + 2joZgSt4ero1O4/NjkQnANvk0yVA7MEFo0anVmAW8/HFfJjCYkng2LLOL9d80T+NaEAAACAASURB + VBSP5wB/yq4w3OrogM+aOdjMSSq9xZJu8xUavNmxJgZs0cEHxcRHevNFMYiHAqHLpojoqsFIPxr5 + L9J7SjcVwNzXPjlK/QvUlzx9C6YAaIJBCaPASA6gQDJWIjiRjGRxAJ8euPjoAFBQ8aN4A74Xg6C5 + xsuGa3g8GwgCfOTYEjB2rLNTY4M8m3aQBCG8dCpgLTzkJIJ//M6GHe5U4rvE00WH9QrQTuV/yWaH + vnpYJdI623zjP318wFvxW8sfNrKlGPjIH7jxhRdmuvEPvi+QOaWbCmAU/N4EZf1dAEHHrCPcgxAD + u4McFQANYAYyiI9TggageUlRAMh6a/hccxZ4zRiRI6+VeIEhCw/HPSz5oEQh4LGG6MyOnly7Q6CM + 2eKHBh8ibw0P+5JoM3gwk2jYHp53Hf7Khoznj2zBKQ4Kq6TmS/P6klsM9OYVheIST/bphQG/dfrp + RdZc06/YYITbrUjyzYdr4vRp8qd0UwGM8EMMU0hARVIIRLvFejvVUdf9DhgELMJnDARdrvWSZK6e + Q4BzWkIElZx5PMYa/a713hf7gETvfqiXKHoLKp2uUT35AkE/XXTzT8OnxaPXmpMg14rPuwD/IERH + Ml1asvQZw6Olg73swAMnXgnDbx2/OeQ6na7JwmEekVfAnRriZx0WdMT18V3HWpiXmwpgBN9HgQQX + kBQDRQmDwOKpmUN4BFYPIB3WcgyYdgfnOYNP4gWSPtfN00mmIqGn41vSVb0HIckvodliGw7280kf + HrrZgQcW8q6NNbJs0xOGcMGQ3f5FsIoDPww11+Toay575rRs8F1szcHjmm3NBoI9DOE3T4Z9cuVG + b43s+P1+/Kd0UwHMfe0TI/jBOereQqHkA8cw0IhigbRunMPWBabgkeFAwM2ngx7AED304UMctFYi + XaPWnU52n50vSBVUMniNC5Qxu67xwqyFD36Bc63XUMnS08EXY0SX4stfp5Gn9E4xRzD+ZJJLZzrY + UrzRbhtm8s0VB3Nhh8uYP9bJGMMxcV3/b2Nzk9d/lY29v/FZ5nFWMsbAZ+e++m8LLuMM2pl2qeNe + sgRN347F51h0j9TvxzGn6ShZOWIeeHoBNrbGKc1YqwDZcJ9/YD4d8/mCIiCDNxv6iD0tPeaNBQRG + O9jDI8zm0oNv12dtX+cLTHSlh65wmg9H/a6b/p2KszmyCL8YKrB6dm2CGnvhlPSeY+RFm7X1LDfx + /eD8Pwb/S3E+pZsKAMO8z/2NSbT/L916fwEUo4LNoDEDigVQjROACmbv1SUMPzlg8dGl54x5wBXR + sfDWGpn0soWXzitXrpzNv/7tH0FYhaBo6LOuhYMPrlGY9eayb81Yg40ddl07JQS+dckvuXwyz1ax + 4Le3ZHqy5m0UNrOTbXEiS6dxfTr1iB6x1Jx46aWzRidbenN8gFNMzSlOtn7lV37lb82HZb+5FJ+8 + XFgAeObB7xOj7N+ioCRUWeloXlB2wO0ofAUObwE1X8VKIr0cYEsz5kDBsrt8Oin5/v0dgaa3oJGJ + SmLFV5HSaVzPPh34zRnTIyG73hKEHx8/s2eNPIKX355LFKs1fORg2Yme3Ya1rhvzURHWrMMojuQ1 + tjW2NXP5yLbrX/iFX/jg+973vr8dzh2H8U3PADGMwU/ZmT716pikFBBUwIwZD0yAcohMDfgCSbfE + lwg68NGLBFrgHPn+ASX/eEVv89jAR6bgkkXWOM6+JNgF5gRGjz8fCpprgbZGTzw7HuOSTz/7FYcx + 3/AoWrcmvOJGr/f1ng+ss4mfjho9uz6yCH9xJKdFfEGwGndtjl7HPZ/nXYr/8YXpC+l8aR5ZxolX + zU77qXnv/0JVzQkgAdMXrAIJaPP7Wo6WeMl2n3I82vmKwFqO0VOSHKke8uZfq1m73mcRglvBgIq/ + JhCoYOitldQCdBHm/EqeHD5NMMka4zPmV7pLqDnY8MEpHmJHRqHzeedlyzw58zs+ssVTH47iqA+D + eFtHeris4VEAg+HNY/tX5/pTw3KjgpbEyQngqP2RH/mRt4zTH5jA+SfhlkIgOOU6B/WA5xRH8Zjn + TE4BFAEl6RpwgGr0ksWrd8/z8aqHvStz3+/Ilww68KWXPQ2xW4Lq6dMESs8u+/DRG1Y4EB54kIRm + R89+eNmEJZ5krEtsOH2ARk4B+Ecww05Ow6eRg4Ue2CKy5rR80cMOg/ndB/zm5QzP3JL+tfkh6ftG + 3yNj54Gf/Mmf/HS69eduAQI+x+yPDrD7KCiJAaA45RKOGOeUADtuGSXb2hrMC13ASUDOkzWHyNDp + 2LTb/fTZp3tsR2xzPlx6c1HBdb3bwwMbWTwFMpzJk7EOE4ySAk96rSP6jPnCB/pKkHlzeBSCtX7R + 40MzuhBZdtgoBnSIQXbipQtWvTk6ybCjeJB543DjkRPExrQXjo6/MJf/25o8vpwrAO9rR+mfA4Qx + vcZ4wWLIGqDxGPekio8MMADmREHlXA4WKPzAOvZ9rHtldr2eLg4hDp1Sc/SFS6+Zg88tx/1QEPIh + exWFawSzWxN5PuFPhr746IIrvq7hwWMeSbIisCmcaK79tsFzVTyLcV7Egh5xqiDweKh0MsNDXpyd + YE4UvpFDeljFEdYw8WnL15sX8/ZyLqoD1P8M4lso4gijNXOUagWcMYAEUvLwMFbgOcIJ4ASsomAf + T0ElR4eHPIkXLEHDDwe+Ak4fWTqtwWOslQA9PoGS0L60CTs5OvBoXVtnBx5Ht51r7F6OB8UTXzrY + h3f3mYxreOiL14dEkrfbNqaDfs0Yxj7tlIduLWxbYy9eMTInJ3QhfHgq6sFy+J3/Wj28nCuAqbTX + TuBH/hAYSdEo5UyJYNSctb4gqQDwaEjFAoU/sK2xYU1fAuhwCnEWeEGQAPKIbPx68+bIZ6sAlBDf + Y3gKt/NgKLDh0mffWgkrkflFr7n8gIcsmQrPWjbCSZ6cW4mdDA+59MFP3hxyjT+c/MerhxMpHq1n + KfxIPBQJfg2/BpP4jI6b/pcy5wpgEvpKQALEqCYh5lBOS76dIWF4rOs5oNnB5PADkFMcE5z00Ek2 + sBKPlyy+Eo0PkUf48TY2n27ymu/rfVPXlzVwkYtckxE4dhA5eCUKwWZNQI35hQSULvLpMc+vCtM1 + mRLiJJI0OjoBwk3mVB9dGrKu0Sfuno8UF118tMuzhc9tr/ixT8/oX//Dr6Xw+HKuAIbx5SWdcMZz + 0FzJVtGKoOLIWHKBJ7sXwG7cGD8eTVA9KNEdaPN0pTdeOgXMmiDCAY9ru8A/VunrWoGmV2KTTRf7 + ydPRtd61AnN6CCxbjmMBzw4+uk4Jr1bi2faVuQKAB8GCR7JQeuqby3d48Fs3hsnt122KLvP81ow1 + uls7bsbDU+GyeHg5VwCj9EUUYw4AJRyg0BqnBEGS9IEquPqdgKXj1FHzGr2c5Ax9bHFCgtnDg/TZ + suYaFjyILNt2rq9oNZRdhVtgwmqdHva15sm0wxWB3QWT3+l5PvGsQpc1fXL0uW4TwQurXe/Bz9hP + vdj0ljB+143X4PgSttZhNGaPHf770MmYrU4C4sXFGP9R9pBYk0e6sAA4kBHBYIAChcGoI0jACypQ + WonBvwclBzJqHZmnlx4Pf36LKKj0OMLYYQ+/OUnBTzdcx6pea/gE9ed//ufXj1jw0gsnPtd0kxUc + ehRb/gmgOWRds9aDJFm7V2/NBqAfDz18ocNcGwhmMj4FVECObbdMMr5CbmMVD7aNw2GsFSfriDye + +JxMMOEVA5iLv3l2XA/duP+5GjpXAKPgeQWCMmPGKOGYo8+DjMRkkBLKgUwGMLKuNdR4vzaPjy6V + bHfYbRzABzhdkiuogl+wySJBhsffBDz00EOrN0dGT96DKjveOikEOiom83g0OFyT5ZMixEtPX/VK + KGxXrlxZdpMPqzhZl3C8mrG4eSfAj4qEPyXz4M3hFZadim1zxdu8MQz0ux3Q5xQUQ5gQPHiG/7zi + WTstAP+3ievVXOIBFuR2JICMa1Fj8sg1w6ctfj09gNFrR+EtQNY4IYAVnsTklPXw+Xd03/ve9677 + vjl6JI9utxZfHTu+kTXYBF8RsCFBKFkJ967h4fnZl3X8/KLTT77jc2LZffCTQfTDXLGZc8vo3QRb + eOmAjz9kTslc83yNinPrcmMuXxWBsQdfbzfZwsNesUuX/lwBjJP3clRLSNA1FaanCOFBOzigzAf8 + K/XkrJVECS65wDu2ratmARNMTgq2dc265iHt3e9+97r/0cNxhNe1f7Vc8iUANjYFwlix0SFI6bTm + WlI9kLpWBPkLz8NTGObhuzInAVvwS7yiImdMvwIUOz7xQWMTjtoCfHyxfkr4m88Hc+yHP102kjE8 + cig+rtHouEn5uQIYZZfjoZgCwAVDS1EA8eDXjBGe0/l0xpt8yWSnnR8PPeYkUQLsPny+IOK4HSzI + P/MzP3P9LZtCgVeQBOBd73rX2ZVJEB1k2MuHgofXfIUNO199/Yzm69SV1OStw+OWQxdM5sh3oriN + 4YfVPZ9+RYU/v8yRNV/8ihO7dGr0tN48zOasKUR8iG56d3/ESCMzMbvpa8FzBUBHIHJKIAVQMqwx + iAJIcQDMAxFZQztgOjQyBSRdbEisoKCqWKDcg7UC56na0W9OQtInCXb8gw8+uI5+tsnYieyxnQ3X + O35JbK4iwO8vj3ymwPd46FAEYsO2E0ZCnBCK0LWTgX6Y+IQXFn7lB3slmc/ssUPGvJYP7BQ7vK6t + w4SHrhpbTgOnj/hQPTy3PgGG6TKlKGOCz0DXgDdm3LhGDkAECLmcyBFAI3LmcyrdApDTnUB2lXua + oFtzIvj7O3Y4q6fLu4m3v/3t64FS0OE3TyeeHR/75vSRdfrNwf/AfEFmLGkKzimTDJ2OWMUi8fgE + XJN81/nLN7phIhfhCZN563tLPps7f3JhxlPjd6cqmWn+6ZinPQH8b0AWcAApTqGxZt2coGqC5Npa + Mvr4chgvZ3IoO67tJhXfmkA0FjiOCCg+SXefx+9eq6cLj+PW/03UuwlJYBs2ZJxN18Z7b8xmPlvn + m8T7d3k8yPkzNH8noQjo45Pj1ftv1zCybefB08lENz9goTc7+jDRxTYfNfr05hG+fFkTxznz5OjC + G0/82T3avrH7jkrO3QJG0cqi7ji8DhZ/c4ENfGuuI0mUHD1+AI3p2EGS4Wy82c6Gne/4FlTkD0UV + gB1nDb8daL0/YKGTvLkKJL1hdo12zM2VoE4PJ4yTRUEIqD8MVXyu2fEpn2S59SgUcq7p4/Mx+MvW + nhDrrcFCFyKrkS2x4d7x4nW9405HeuM/8t04eggPnSuAuf7yrtCYQiAAZ6jgMGAuA4Ewbwy84JNP + R+B2uV0+3fHT1U6wEyXade9z8UmCebyKwrWCcetAJZHubBlH4XbdGB89qHsofxSUXQ4DW2yaJ4ff + Qx+7rfMzn4tLvrNBTl/Lf/L0FodTvl0WxuTw7X5awxvN+Ibjx8kbT2wzMcLouhCFGkrRfp0znLMu + CAKut2YeJbOP02cOvwSj/SRIr15AtIJjhyC6jR3LksKuOTj4gtja7a3JC152PjrooiOdxpJiDSY9 + vPE69vHAYw7xDW+6zJ0WhbmIXA2ePZbFVk8fvVH2XMNA1vrez/ytT4BheCrjeop2xa3tihlkBKDa + ft26uSidzbkWlP3Yy0G2BBbhIeMab8ExZ42M0wBuxWA34rOerTBc1O88MCk2CVac1ozd880XfFjY + Kfnu+/hgowMm2GBSwKgCsYZH2ym8xbnr+OlG5MqRfp8nE0bjI//h7dW6OrycuwWMkoUwwAweBVdP + EUOIsa4BYSxAeNKBJ2AB0eOt0eep3r02XfRlyzos5LR2ABv4zWWPjCbYBTo7+O6E4qdbQWmSS392 + C7I5zyR8MCarhxUvPGQVjyKCLXww4a+5Tm920oGnteT23jgSM7xk0eC59buAcXQxAK4BraJVeLuA + skBlKEc51+7Qc5AOoJPJSdfk0ic4qGMu4PjxgUYnfdY08+kjaxdyumLZbbOD8F9E+3y8Oz978ejZ + Nldxw+fdgHlF4NlBwYif3kOj25Tke4jlp/n8Zysb9GvWPWDyCx+/KqiwkdllG+NvDSayg/FpbwFX + GQ4UQWNzUcFtTQBKdgbtZsHon0opudbpI0unHgmSZAmaYivJ1vAIrmBoHbHWOnbpRPSHnwysuy/h + W8zHl3xLh+lk0lW/85jLHz1s3h14l8KP/mwt/BIvDpoC4Meuj116NLrxKRi/IbD5PNhKvk2F+IZX + 7NmgS588HnEslscT+9YnwCi4XiEUEtZTGliGEWMaxa0Leh/Q+EEG8AADiUfDQxcn0yEYHYfWssmW + +XYUeQVCToDc7+mJCgp5PPj16cQX/mRO+2T16TZG9DXXmH5z/OptqWvzYpO+nh3gLq47Fnx05gM+ + n3b6oEn8+O2LnnjY0FyHRU8Pu/TQIX7GR1vX85vfp88A6yEhhwgDDjBqnnNdm6McD7A+qdN8L03W + Gp49GAXUWlVt9+DjZB+r9hlAjvnYV4GRE5D07Fjwhs+4gouHDDtdG6dHr5HXyFtPn3H6zYWfb+yI + l7eK+DrmjcXGCYHw0aHos5Ne89kk07oft5D/7u/+7vVZQzrpYxNmcsgaXK7T4RqNvlsXwChatwBK + AK1RxMhRyeoDCyRwJd8nZX0wwrDgoHTqa1Wo402yfebuZ1yOdnJ2OB12QEeowLLJvvmcW0aOL2HV + 8wGx6bo11zu1Vs9GOBuzxW66xCWyJvn+nsGfsmUHRk2iyImV5EuqmFUQ2dIjNjWE37+GhtfH4T7t + dCq6RcDDVnrgIMdmRYbH+vDdALw0n3wQNILrBAg8IQo7tuxWjUKktyMd9b4ssevtUoHBB0i66skZ + c5QTdjIy9g1c90466KdD8ncZNo8OLVuKJV78exAbLyN38EIuWT37YsGWHrHZmt7HwJLTz7TIVCTw + iglSDHyQID0+DWXTmH5ynin4ZYP4EEw+/KGsZ4Pk8MPGBpkeOMvdsRCf9m3guQIAlANA2o05nxG7 + UeLtel+UVHElJOeBrwHKSQ0o83a84Pnu3qdp5q0LNGfoVQR2jGcLuPCwY12gBCIb5pE5evYgrYXt + ZV+LV6+heuMKIP/I1iRD4v36CCbzcMXbHH30wC856Yc9ImueLF7+Ijacru95z3vWLeZ7vud7lo0K + gQxZ8ZIbp6oTx5xcDIZbnwBj4+oeTKC9fQGAEYlvx1LsKd8XJJ74VRzAkonIAp8za/LkhT47n01f + 5LgVCEwydCA2FaAjVqA4pyiN8erZQmTgOKUCfTq/X9O1UzL0N2bHuEYGftj5wv6O3zXefKFfjOIX + R/HFQ67mml7Efxjo0PPfLUGs3va2t62i47Nr+hSJzYL3mPglO9hvXQBj/MmAEOYspQCqVuBUuN0o + 6Xajb+esc0rDQwdAHMg5+i4iTuETPI0ddulB9GRbEQqcdRRPWM0Z54N+t+sa1TdOz1o8vuw68slS + xWUdbvpr5rQShd81vBp+a2IiUcZ0hxm/6/CkJ1l9+iXZtS+f8IuLnW8jevfgWQFWBaAXu8nb0xcA + ZYSAagyw5ulewhWF38y5ZpTyfvnixLC+O8OR5tbC0VFjdqx5jlBYwHJSbx4GgTMXHviMBUCv0ZO+ + ErMmTl7oQfrGXdOz036Nl16thMChmYNVUsShYjZPrkTTDaejWc9HxW2cL8Zk6I2fbjoluWQ6Adlz + EsiBE1JR0SeOZOSFLjLa+HPrzwEG6JMA5DgHkGqiGOU0Iwxy2G/l/eQZj6d4R1RJZxgYreDRw4ZG + n5PDwyOH3BY4BIfm+CNLn+aDFlXOMbzkzYdbz04+sHW7ROcuF8bkrWso+4IseXz3D1bD17eGJRVG + mMQLdpvJRiqmxZle+Omut8Y/ujxjiLeYiLFnLw/e9CgQcYLZumvkmrx+7N76IXCArluAhFBGscTY + 1ZRwRKMMML+K9bZHD5yqJOs9vVsEPsQh/EcQ1yvSmnl28Pt9n2tF48k3W7AIHqrKC645Mq4RGznM + rvaVqEB/pfXm6ZQQevVR+BQBDNZtDPN2eZgknA5+GKeHDN4w0m0u3HiNxfaB+WWSWNtsCt+7Abv+ + V3/1V9dpDBN71hQAG43ZZmf03foWMExP5ixHJNT9RNDbyQypcB/Y+KUMUD64ARQgweCIpJLjBFkN + KPM5mMN0Amt30CFQ1sjgFRS4yNLn2jqnkGvO4w2/8UVkPT7rrvfeeF9vzB78+JMJlzVzir+gG1cA + /IAbH31w06U1t0AcbadPLNzj/aNY3l72UTlbTgI/fRNvbw+dBBFbmrX+JgKesX3rAhjFT0qa5Nv1 + +hSpKg0gb9kc+SoTKICA5jxgfpDpfvXrv/7rqzrpEAwB05BAmBMciSXfL3rM0yVAydpRjj2VT5Yc + GcF0raW7vnn2YKyRa84Y3yldNBcPGdjYCadrPvsQqBPRrcztk642lLia9wzFF2QdNvrCLmH9uLWf + tYuFTVks2fNvJ5GXG7dQdlC28SsCeZlY3boAxomrBZqgneheAoBk2/WOIIY5DjQeers2pzgAMu/a + WxLgOQd8JGiCqQH4zne+cwWQTq1g6AVOlXvGeHh+l89R8jsJRDZOE2sNwaM1XoN5aV1fS0dreI35 + oPEPBjZtBIl/y1vesnyx+8z5XMO6QpBU/GI8/3Tb6o2jsJMVDxuNTjlgi21rSHzIOo2dEDZPX77B + LT5O705xvNNuXQCj9EmVg1nvCALCp05+E+faztuDCIxrgARFIZDlrPeodjengSl4e+DM0ZtMFU4P + RwqwICg+fHQpKLLWUWNBNNZfhNNaBWBckpNbyraXdJUc14iO5I0VvJ3mJ2N44bQuJq7FwxhJmmZO + rCP84stXusTeePeDv3jETKyc2IpCoTidPbM5XWw69vSKQQHOLfbWBTCgn3QfDjwQdr+eMsGSaD3w + ASt4ktbRXID8WRZQju+Cba0xWQCdMGxYs9ut08cOTHjsJkXgDysrAPIRGdd0wImMd+pan72dTxLi + ad51+ujXsiUhFSnfjfG3rtiNzSP6w4gve83ToZgUkoSm22kCrw3VBlIECA98eOhRAPgUmese5Gfu + 1gUwQb4q2Aw55h1DehUGGOAI8FOHyLRujdMaWU0yJY1sfHQBqEXWs0XGmoCQUQzZZS8byXdNB9Kb + Q6d2kgnLLoO/a/aM8bMZpZc8Hq1k4zFON9x8SZ4+a9lI595nb8dNRgt7NultTl/86JM/ZH424fl7 + 5syf+8x0ErQqxFO93aYAKGeoHUc54Dt4oDJqnrHkJK8CqgCsa7uO5ugim07gBY9+PHTAUwLwRhWA + 6zDiJUcfMq4Pa7ytdY2PfNQ6m/CwZ655cubhZa+dqkdhoNMYf7TrSKc+G/GS0+ig184Pr96apLt1 + 2MxI/J3icxLc+gQYg09i3L+Td3wIekb1AsCAI0exqPDdAUYDE/AdpHVExjx97RD6a8nQbwyLe5rj + DSUrSAIiGHvA4O6ajfSGdSk56smWHtFHVkIb7wGnLxljPD07tePZYVOfTdeoU21dHF/SV28aBkS/ + hnZ9xY4MXj37jn/PBzCJrTY5u1HNS9PJCTDOPuVv2AlTJKCUVcECb55RczmRk0ed1wMDaMADF0+9 + +QJ2kR5zeFSzbx69C/CMoqrhsaaxBZNxxDa8e8CslQTz1k8bHnroYye95tpxYuCaDXpg8S7Ffdm7 + IH2Bpz/Cy36xa01vDRnTS7+2X1snbx0Gzbpk96zUJ7eKF368cI/+W38SOAJXgWZUkKt+zjG0gyqI + 5nMKODxRTunpjE9AER3mrHe0W3NtDXjkqd/XzT4+9YB4dGZhSod+Dxi9fDnFgwcVOLYi467DGm+F + 4DqdeDQEk/fh6fVUnn3YUPrJi23y2VxM8wIjHnFnFx+96cZPZ71TsW9kycGiGeMrpnN9IzlHY+ee + AcbAYsDHOMP1nEEUAm/XAhcovOZRRy895ktMoHeHWyejiq3Rab45n3n7jF1l00UP3XoN6a3tjsNH + B53ZbIz3lKw1v/sDT/oFkw2EJ/zmHbcSgbdTTUzCmG7Y00k+bOlzTV+xJ29NT/aU2HV7tGnjTQeb + ZNkb2ZuEzxXACK2tyThFlBRATlPCMfOUUm6cY9aTySh5FJ95BIvgaNbY47C3P3hcw9FXzt5G0kUO + P9Kzib8xHQoJThReuvbE0ZOstWymx1pkjB+PYIfDOn7zKF+dVo54m8bDNFkxSo4+b2tRCTKmC9Fn + vmIOp7V49PTSU75cK4Ls4RHH2lzf+iFwBNdfBjFeYih3LbAFQs9BQBkBlBGEHwhzdLjGg+qTAzRw + 5iTZOw/PIHa73VTi96DQQ05vHrEn8d4Dezj1eYGAZxPmfCGTbfPxpIs+PueTefp98AIT/xRWMYoP + jybxTizF4nMUWPjFTglTJPuJxx5KF1546WveHCx484UOvpjXjLV49Xy0NrpvXQCjeB0RhDjHkEbY + NXLNAAABBFqw4yePrAuCefLkIjrNB1RgPOD5fNw7jHSbp5ujxoJPVpDZpdM1PR6C3C68DfLBEp7w + 4pW0+MmY0/LNGFlDZJEA+uWT08j9lh5r8fkYVpL56ncNenhh9X2IYvBuCR5z/On3D/wsQTsmYz4p + gHC4xsuHituchkeztmPLJ34M340EmBg6dwsgiGmM3wVAjQGUco4A3jVQZKvWAiphHtrw7TJ00X20 + 53IFDb/PsxWA+3c6BZPDZJwO5jVknn0YFYAA4/HRdZ/DwwUTvgJqTB+yRn73l36JRhLva1eJ3JMv + uORgZcsnd6757OTy8KoYPbx6B5N9cuKXvWVkXlyXMGNx40/8+WHeuN6Y3TYNfvE2l29sjE+3PgEo + GKEnpvl/jS9cgRQQBinXKC/gBXNf614sGPQKXMFPJx2aeTzZsIO8jXKNHOk+n3DbYcu6W4QjGaaC + RZdrAfdLGfx9Nm+eLMKH4CVr/uj7mvdClowT6f3vf/8qzHDjpRc+fvEVB/bplgAAIABJREFUFoXn + MxRJVxRuF4paAZMJpx4G8mzr020eLiQudJ8Wb35aUyD4yBd/43w1RmyM3G2dAD5lWR8yM5QCY0oF + RnUJDuPma4AC4dpaRVDwWwOIXi1ZujWBIsdGHzT5UkTAkWBKPl0KgWM1czD0UynHMh3ZiU8fFsGT + RDiQ3hqCw789+PB8+4jM42dDY99pRSbdEm+OTjx2Pp/EAA4+5jMZ1/rsG+NlW/HZZGJBL/t0xLNA + zYu5eOCji16UXv3I3boAGB6mLxUchlKU0wqAQYmwnnPG8TDGAWDwGePDoyE6CrQxO9lybTdJoA+m + JJHO+KzhlWi66bSWfn4Int8s2pHkrcGDL0pn13SS5Qe8drCTxC7ui5ds8EvQ6dsT41pTBLDj82Aq + kXRa07ORPPvHBC2MNo91WBQSPj1ZFAZjtot78bSeLeONnr4ABohbwHVAlGakAAkkA/HpkXm8AeCA + ALhPJ2MO4StYxpwUNDYE20OceyrH6ZMEPMb47Ah8Ass+OWvG+ATRvdsHMvhK6jJ+fMEb3hIfLke6 + Bzi7nL744MFLP3yIH2xr4Q2nZ4PGYoH6PIP9kpqOnnXose7amCweczXXNTzGiD35gRlW8+Izcrd+ + BiA4zKsAjuOlpAqjbE8mIIih2pqYlxKu32XSSxaoQLNhp2reMhVcNum267NnjsOKgDydSKJccx6P + QPt41kmiCMzRARPbGt3JCzKdThUfrCggumCjmxz9Gjlrxqi5MFo3BzfS98ziGYGunqHYPyZo8cKZ + XROuixudxmHOPv50xANLuo9+Pn0BjL0vJUiR5pqBGlAUmtdQ412G8eZzIn4yeI/AFvi+hZRcAbIm + IcY5LRkShaeqz0YFIVn4nRp+oKoAnAQCFCZ48k2B8s21ApSo+X/tXZ+ziztt6EQFWw8TIh8ZswET + HjbwOTlcF49dLvn6dO088KM9/saITrEgr49Xz79Zv71bAIFdmAGN4naZMZ4S6joeY42j5vCwXQHt + 4Iw1fILleC+Bu/6dL53Jcd6YDbL0sOX49izwm7/5m+vh1W0FwdVuxtecJCsu933v9yXNM08fTim4 + nm1gQHqJ1fMZwVL8XFu3xrdjIha/tWTwG6ePTI1P2Ssm2SBjrO368O8YjvxPXwCj5PHdCMCBElgB + AUwQ0c5rDiANaEEWsPhcC2pg9TmAhx0tHebikVy29qLC1zVe14LsJGFXY+9DH/rQSqIE9/xAb0FK + jwR7r+/3/QqAbvwKoA9yPNBFxWX3m94avopSzMQSJv2pzO6b2MHCdnjJ04uv5hovG5r40m0ewbdj + GbmnvwUMsCdSQpiSCOh9lwrcKS9+rQTgARi4vXDopN86/njw7TZzpoCRI2O+t6RkEP2S7PlB4h23 + PoeAxa3AvddfILsfO+rxsMueQPbOAb/Am2ODz3TXm0d6srCFOZ/MRdZgRtbZzK/mrGvssAkfvHDk + p3WyybMBA//z1wmmxbOM3rB76xOA0Ch9AgjkGjHMCfMqk9H6eDiUoxk3p0D2XUCH+QKQ43gkDHh6 + CjJd6S0ArpFkS8puw5wdKyi9jxYoH70KJh39ypkPrtlGHhj7czc6YbBeMl1LBn64yPMnfHQUh2TM + IfokSXHBpuGlH2WHvr0Va36yU9yyQxY/ezDRS5cWb+O5vq0CeJzRXWFGzBd8c8aMM7BTIAAAivN6 + fGQ0162TxeOe7QGswFY4p/phs9ZOYc91QYeJDevmjOl3fCuC5BWKazjoUHzpCh9eRQWTdWMYEVzm + 8dABQ2TNHCwKm4zTyDsT19pFcUku++ljI7/M0Y3SYUwWX/7iMRfN9Q2Ax8lz3wVwYISfoIAgg+aM + VaACMDZHuYaH0ShAHDDG21w6yZnHk3483hax44hut9KLn+xukyweT+iSZndVoNnpGj56BV0RWHev + d8Qi8nQ7MSTILi0BePOPrx4kvROwTn/Hs7VTohOx622l7wcUgkIqLvRrYl7yyIlHcWMrHOwYF4t4 + 6KMDHut86LSB4Sjz9AUwxp4ARFAYY2g3bs31rUC1XgAAQGRLCnAI8EhgBEiAPXTZbXBwsuCwS29F + qlg6Oehmm4xASDofCgYZvL51VDB4NRjyS1/Dzx487snmFRw8cFpXhPlEF4KPHNt8YtPuJ6MYKrj8 + zp9dD1sIthp7Thx26IcDkcfDnnW+8w9uRY336OuNYC/JuX0c+9VROIJPCFwgKZaI/VM54IDFJwCM + IjL4C4A5hvHi44CGB6iA1wNcstnwNSt564gsImtsnl4fucKowcSeNTzxmqOr3YcPDnbwbkFa13hh + 0SSObmO++lxBIhUWvekOqz6cEmD3O934J0a7LbxhZAMe2CSzNb3GH/PsIbpgQnxBeOJzzX5zc3nr + dwGUDNjHVTkQgCEKGOW8ucYFHSjz5LUCRzawgXItcHjilQCN8+YclaqYXkcuuyramrFgkKfT2Jxg + 6DsCzfNBHxnTqVUA1uiBHwakNxcetpE51Dod/MkGHXSTUyCS7ithu7+djycd5Ogio5DF05gPWv7x + GwaydPNTz7Y1fHq6dizGNetT/Lc+ASiYinmUcUcegxQApTGIh+N4KgAAJERirZPTA7nLcsIOtCZh + GlnXCD9ZO07gjAXG7QCRNbfLkA2beXg5i8zTaV6Pt3F+4dt14tFaN65g04XfWDzSmxx9/LLr+eAL + K8kvgdYjWGCVUM8j4kknn83RifTiq2fPWj6HnR48ro3r+aHB9LQFwNg4+wjwhKpwoIAteABUpQWB + LHA18wDrA2MNASMgnKDTOl7A9ZpkO778dMq1J3YkGfSQRXC2W+jKYTJ4NPx0W4vY3K+NySDj/Ahf + eM1bzxaZrq3xDW5vOz1QdltLd/br6WkzibemAMSNXnbDI+7ms80eflRM9QpBE0PEV0U47dYnAOYR + nGeWw/+kATAGAwNI1wwXXL0AW6s4GNVcWwNIEPT4gM8Req3hM6fhcxJ4Wkdk7BAOIvJ40pMua3QJ + nL4iwIsHpniss11zjegkF5Z04COvT5dr2MzBZsdLvk0Eg7nsLOXzkp1slXh69jG79Or7YKi5dJIx + JqcXQ5j0CsCca+PZPE9fAAP6ixKfMiA567qABEqvmQeMnB9uCCBAgk6uqjSOn17X2kVkPuC+l6ff + H1zkqLV0qW7Xis0cWbbZha2E6gUGz06ua2SN2SMbCTQbdCK2rOOnkz3PLrDqBdy89Z26TpZeLQoH + rE4C/oprBcAu2v3HS5+WvD5b+mMuDtWfsenPvQswP1XyKIUUcEBjjBJzyDgHWiMDbA4ZO64FAo8G + hJ5scvp07uCzxb5dhU8g/MBDcugKJzwCU5L05NimB591PT3pPrVLT74VUH1+sEs2zAv4vCgKp6Zd + 74HPzi9up7boj4wrNGN6dzkxhNvJZwz/Lk+P69O5HXs89A49/QkwznwREE4xGjBKjSkSYOuuzXNS + b14PaIQvx8hKnIbSbV0TDISPzoLtWoAVgoclD4UFg352w4RX0OBwMuCzZo4+NtHeZ2stzMvpNWy9 + FVSEdPMBH3sKxLr7vWuYFAHiU366pqvr1sicNnzs9H0AXnP4wkePBov5dJtD5cE1memfvgAG+KMM + RxzhmKOdIcoE1O5SIKi5o5HrRUBPQQLQNVDmEMDaXhBrYV5KMN3k8PisHvluXxFYE2zJ1buWIGPF + 4ii2Bm/6yIfLmAw8WnjCV1DNe0vXNRv0iYHEs2fd7tfjZ5Pu9LOJ8FpHdOxjc67Zrzhca6d64hUX + BUivMYx4EQwoGzP/9LeAUbJ+8UBJhnPcnDHHMwJsAbWmAWL34TPGA4xxvICZ18zv+rJjTZAQLHT6 + KFdw6HFfTC8ZYwXrKHZiuO4tlUJAdEbZPL02L6Ds0ce2nl14NH7aGOwogmzmi/WIPjqynV1z/NDo + rFjjg4F+/Iouv62zA5PkF2s28dKHwnrEcTW7x+vV3fQMMErW/2ZyZ6I45QxrOcQIQK7NA6QBp2UU + eA0VTECTpR/lfI7QX+CtC7Zv7ATHSeCjYDKSjc8u9F0+DH2c7B7a0U0H3bWu9XwIo+KlU5LZ5Bub + bOUzW5qTBg8iv+s2VyxKtDlUAdCpkdXw0cEeWb4oZD44deGyZh4+DV4UPjxwVgxja/3SazFtLzcV + wDj6WImhhEJgCkBBkDBreI31AFWNgJvnULz4Oe26ILkGNFv0p49OfHotsiv89p++fu9HB9vee1dg + guUeqofjlNIJg4ayVc9vY+vxm9Pg2N/rJwMzH2rw4IcBZn0JKg56NrrGT96c5LLVh0UKwZp4W9Nr + 5UMs0mPuOL69AhjFq5QIUpQCgDgiQQWEI3iAwRdQPT6GHWucIN+63SJZ5gUCH3nNHH309oyhx1Px + sYvPTjfft4fWOzI7Tu2cPSC7LeMo+/rmK0o8MNHPrvWKPT/YqAD0rvmMSva+vhbmRUzpgLd1slFY + rLFNJxxIX06yBT8Sa40MGj23VwAj8BhnKdQzbMxhYwYkwBg4awUFIHN7MK2Z56TkODLtGuDI0oPI + GAuW1i6xViKs02dNY4su2ATQdY1cGI0LzN6HO9ut7T7YbZ412OEDneKSP3Tv+E5tulbAZDQ2wl6M + 6WCzWMKlcMmievN4xA7/jp9ea+nEI94VyfDeXgEMyPWTMIJaYFUaI5wBKFB4UIE3r4IDF2C73hOy + +6UiwI+Xfjq7R5MzzxYehEdzL8+OebyCylGN3QrIGrJOXzrX5PGFjdOW/vA5XRD87rX4FZwAI8XB + bnjJpZPvxsVD0SAYxY0ePV1wui5u8OIja8x/vfUKgK5sWUuHdfmCFx42Rm793J/MTjfdGId5VQpl + AJeYCgAQxCDl5gt2YAMlANbbLXaRIpAsZNcibzEll8N0sY0KnmtjtvXs0t3DT+vWFFMJSRc5MkiP + byd8rbem52NBZ08gNWP88NOtiRP83afDgod+yfVOAeYKiK6KwxiJD5k2Wj7HR5dG/z4HD1ySL94V + F17xmfaE8SndVACj5AnKMQPFKdeUmMuIxOYcpQBYx4vHOjCS3a7v3o+3t2f4+2lWtshynE204yhQ + nMdHPttkkDkN4WuMT0PNrYvjdXP1xYAffEL6MFRsfivhd4bedRj3mQk+iRAnOPzls88n6Hftiy4/ + TkF85Y9NYh1O104YdsyFi950FKNwkYEbKV7X+rF3eyfAyD0JXFWtoinYk8OAOcbj1QMNiHlBc9Sr + esQx15zZf8blKd4PPxy15DinZ48NAdQKijk2NPbM06kJNApHgcBDrjXrO1lPv/nGen4IIhkxUbh7 + cbKhgK9cubJ8wMdWWIztarr8O8JOCn9xRK+iER/rNgde+ozpEQvXioDNYlKsrcNW8sUJPz348+N4 + 6zl8NLk7PuObToCZuzbCT4yx+xjWkAADtSekecYYtQ4MUJzSHHk+vAHMzgBGMQiaX/K89a1vXT/6 + oIM8O8YCSKfv1J0gTpISUWCs4+OowCpWOvAVJMVkDk8BgcWchszXNx8PvOwhtyk2rUkg3WTxwGgd + 8RkuuvjCb/zk/Jm7NbsfTn/5jCpoPNk0h9igR18R4LNOryYvCD4xRNZdWx+6vROAkQmeL4RGz437 + KcM5njGgzBdIAeGUCpb8dr11epFjUjIVln/kmA78dDs+rUveEcd6n+/aek7r6RRI8sZ4jDXzrgVA + gyu+ZHdMcJkPY9d84SteseArPfTRrzDISL4Pp5xikp2e5J0aZNjQ8CkEb2P5ywac4oKPPXwKSQLZ + yifXMFhnn6wEG8NoI9BZ4uk94nmc3lO66QTANMK+D3hZyWWwAjBGgBZcxo2BKfF6iQUOGPIFzr+o + rfKtBUpB4HNtni5Oc0YAOWcu2/isk9E4aQ4OGI3tpMau8SFjjUxyApoeOCWvnWie/da7lhh68MNm + nJ/mjOk35h99ZCoCMn484uTquQFvJK6Kgozm2rqThg6+asbsiAc79OWbPBxx314BUDbtixQYE2Zc + ZXGQQb0AWXMNFN6SD3RPu5yRwCrUX+Y4/iVZgOi1I+x++gQppzgKB74SSV/OwcXpCkFA4ULhLjEF + FvYaPahr+sjxBYYKND681tlDdMNrjl2/BYCZv3SWcHx4kqGfT2zorSkwxY5sHGsIbno8K9Djmi1y + 9MBMVi/5bFtjn97iN+P1J39L6fZy0wnAwLT1wTYQBVsCjFUXY3tgJVeweto3pgc/p9wKVPgD8789 + ESD8+ipfzwly1gLPDj2ILg5bC1OB43T4YMaHBEKwXKfXOD2L6fhCJ30aYoePCI4wwalo6WATPmPY + fVvpAVdBw2HdRugoFws4xMm6ePjFkwQjCaQbBpsJ0U83/no62IALHkRO63r3E47ReXtvAwlOML5Y + 8Akb1xgrAXiBF2SAOWr3A4ofINUs+X7dSxeAdpCk90AkuGQQmT2JbCFz+CqIdNFDHz69Bs+ug5yg + Cax52CI+dE13+skg68b041NUMLYOB3uIbn6IieKRUPGASV+hwuzop1tsvDVE+FyzY40Mgp1urdjj + 6bQJk56PZJPBD+PM394JwLFhfiRFrjkEZEcMpcgaQ8AYa0AKCF7B5JS3eRwzpxA6pgSCboA1Nunm + CND0uk6vfk+SNTJshAGeeOCgC5nDzw7d4dWbj2cN5qX1dEg63AU9PysAOvA6qt3O+Mo3fjsB8SE4 + Nb7jpc8tEa9rck4Kydfg4GOYuw2Y4xvfKyxzJR8fnTDBOnF5jK5TuukWQME48wiHCBAGRGX7PUHB + tpOtcwQxyNj99x/+X8CHwB8eijgFnGPLvLEgAOjUENznPc9TtkQqgsMxjAcO/OFh88a4RB3uzXQL + BqJj/rGTYfDFyOHeSR//YNVr9MGtR3h26sSiVws/HjhQeugQJ6cePSWdDjzh7lo8nATkvCVGPith + R5w1MvApYDrZVzRiqtGl4VP8Ysq/TqKwzfrtnwCj6xGgtPnfCI3iG0fgC15wMCxpCKCCAoA2YqsH + WIEASlcPOQdQ7puHtz8C9fznH45yycaLh7yxvnm6JdevmxTk1avuwZ4TBsfdhwIQDPyXLx//Euh4 + dNL52GOHdxjzB1ATKA+yh3cCfBB4fpFH+nb+oUgPP8oIHx5jrbFk2TD08deaRN7Af9jRbFnrnZIY + uCV6jiDrepeBRaKdKPg8a9AhNtbEXeLZrgjmegFTPGPri+FcYI8vN50A5icwnxJUAR7ZBVRMOPfo + o4e3JcDce+8h+QA///mH/38AEE88cQiyxAMr8I65Q+KdCv6JNf+XUPexGz904IwTQDzxcmz1ZxJi + rDAk+xDwp5467GDznLs8svdeOgT7wH/Y5XxCdpcHMAERMP8fRVjaaQLHnh2mcOnkp+Sb42eY8Dkd + tZ3o7a2XBJHhFxtk2s30dPw7BcjgFS+7V/IR/XhhkHy3UD3dnTAln26yTlVzg39ED8U84xv/c6IN + 8FcogCc+eQguzkPF2ikckDgJesEL/K2cYB/eEeBnTDPHYUAlXiCN7Tq7l6P00MERzplDgkW/ADh9 + yPrvkPR226EgFKh5PT66777b7eXwdM4ekvB6AX7Na/y7g/NhCf4pALJ2jh+Z9HYLJhjg4ot2wHa4 + LYoFvfqCrHctAXj5bhMYKyT6NIUWsSMGPfUrigqI7WTIa+bg7ZinR+JdK5ZwwcZuNPOHnyw1cewv + LIAJ3KcFlgJO1RvPl4UD8PB7OBV4332HH2SquMcf99cn/sDz8DblFa94+QTO6TC7aek7HGuAkqW3 + wNJ9sCUhgiu5hzkOG981hVXVO/rvnkRLurUD77zS42p664pRuzr4nnzy6vH4/Lq1+wT3oHsEhuAR + TDas0REtvXONv3HJIYfI8QePeNCFF581raI57tCV0JIriXjoUxiaB0j6xMy64qKPbrrMt663Ro4O + ttkxd4cF8OS8Lynxhw9yHJWOTNUr0TmneiXBbnVP/cI8AD01oFS/e6Dj2cPOlyehigEYySvxdqs5 + WdO7BRw27OG9vMByTEFemlNIUr88BXL58rTl5DHpx1zhxa7HJ0BOr7vmdoPgZdu8ZwY8B5kbf0VU + Qu2m1uqXknkpsXjjg59u15oYkYtXr0my9ZJjV9v5YiupTiknkURaq5icUuQrFLzGMOChU8w7ecx1 + mgzv7Z8AI/i5sTPGDoG0yw9Hk1+/fnY+w/YHj34cobLtYl/g+NBmAj5GgfZWSHLvu+/GjzT23WGX + W1dYGifsVOQ+L0EcQoJovK4H01Me/MaWosOnaBQaEiCnVDLmekY4FOnh7VE7kl2JokdASxC5km6O + bf1O1hFZlE26BV9y6ER4dn3ZVTT4bRK7WzPuLaK4OwVKNl100wU3G+HDA6cHRLdcBXXYsOsj98Mn + SxRsdOEtQAFMKMcIp3wT6Ju2F84D1Ofm84DDAwvg99zj386VhIOT9OL3dq5jCBgFoUD0BYETaCX1 + eNrQaZ3txtY1zgkOhzhufT30zY47JIYcycNn78YH3YcHR3rd8w9zB4x49sSz4XpPNP4CLGkRffg1 + ePAdsB++8KJHcvTW9DCnAy+96dY7nejzIOe7EnrtZn7TlR2xSy892VUsTg/PHfSxV8wHw+2fAGPs + 84f3446rw71E8dvBL3rR4QMeIO00IZ+0r57Rdr+q3gE4LVxzEOBDURzu4XTTYKfSgXJKL4Ds2QkC + 4e2jQN49R7ikksnesC875siy29hxL4iCx6L5vcGnmUP1Bz3dEg9r7MMFj6OZX8aSAwvaE5Ud8/nG + lrFYIJuGn3jp722zovcHp+Y0esmlxzMEWX8255/JN4ZN3wky6u/oXcBUS45K5OHJXjVevvym5ahk + +K6+Hbk8mBdFogoLsGNdcBDAjnfgfGDEGc7O9Nk1b+Xmv4IX/0Hm8JGzE0gCvc3ER8eTV/1zb/Pd + wv2H7+rZovfqnEzoEHhJPQQMb0V4Yz1fb3wZRC6CoeLQw48kwu70Xh4umPRiICkKDQ/+A45DUSZv + zpgcG2RgjySQvLj3C2h89LKByEq8t4duuxKefwqLTjKj5/BPnKb82F94CxgDjzEcjfwoObw/ptC9 + FDiJ4PAhVjfuk95DuzXcf78gCvzhGO/pXhAPn/oJ+A2edgS7QNcb2l2PPPKFtfu9vYPDhzp2jM8m + XvrSl6xguB2tIE0h2P3sX1Zk01w7tQ5vcTl1ww5bJbkAmkMSFR7Xxvw+2D4UAHySJ6Hu4Yc4Hb7J + k0BkreTTUZGRY1NSzdHtGsHU0e65wHq65UDi/YGM5Es4OQWCj6xrNgff7RfAJP8Jzgk0oJToVZsH + tqtXD1/q9H7b2uFB7BAsjjghgOjh7KDnEAAOd2vppBkVi395LTOrHa+OR7fPDhy3cLlfvuTFh1/r + mHv00Rvf/R8C7dfAh9PFu4dL1xyrhy+DFCI867/p4XQtYMb5y7rrgx833vfbHOLDrqfsbk0SQId5 + 9+H46EbL5jbOrngY7wWA37wm0RLsQyykANgwb/crMLmhIx/wOZ3IwDpFcWEBHD5uwr3RHOtXpz31 + 2c/6Rw4ORQA3A5Luc3u73BF8qGi7+LCTO84kkEOC2dM+XjrwoAJjPNNeV8+WwskZcvQ4cT7zmU+/ + 92Mf+9iPzKdnH/6TPz38Iwx23//X3p3GaFpd+QF/i+6Gbtpge+yBjJnxDBgTEluMiW38wTaRLEfC + GkvOmNiJrCiOFBIrn0ZKLEfKNytf4owSJcr2IRlLySRGljIZi2ECMbFocFgGj2HYbBp6YWk2Y5ql + zdbQVP6/e95T9VZ1VdPdQxWFUrf7qWe7y1n+59xz73Of5zWbZqZvzBSmPME1TWp2rD71oKHu5W81 + vCIA3Gsa1NAKJVhKt3HN+Z2iv79nz54P5fxFwrYpi260SXgtWY3ThT/yNU1oZu0tF2075hFYtSRv + WzgANI1Np/zk7ty0suV4uumUWZx9Wmh95TWBg+gUejoEn8W1shwVa9zGggiDkjClMSlZhnLlkdol + sVYBG2HIWyApl1jMlnvGTCvG9XGaK+rTjv47wdDlEchj6Xcvyq0ng/4zUt/cC+mHe56B89CG8qk5 + 2aSydPWU0Op6tVkKaiE2/e5lG/Op6EE/vgGgLT8Cvj8g+M/uR+CXxf3fqDwloKHkVDFDt130VJuO + 5de2jazsJXUCk/aAAD3qUKdjbQCDawX4KqNd11m/vCnrsy+FoFHz4p8VPYDCmc07qL80udMCQZDG + 9KFceJpbqKnuFToRK1KveftSBhA1kwo1M12B81nGuW/9+Wg7zZTgXziSuh9XJtdfzsLKv2cVDsW8 + Ek8lD3pfD5096lAn2tSvLhv+JNd7GxdWuJbyAynFXwmfQtRhn0D4X7gnBZT/Nwp7QZvaowhJm7P8 + OpaUc6/3ZKtsK7bb7Lqc96Z884F/QHFP+dJRgYqXSL5DvMBKaUUAqCDW9vSY2Mm0rr3UBCFadC9f + J/fYWzNfhJai5REUAoz7EqZ4ErJogdRePaXARv9rCTqVD4ofGTdVkJT7f8Tto6eZBgJtE/EYIqa9 + om3RkxBctV+KG5Wt8qfzqV85gqR459oKDbtmis7H6r7rvL2d49n2ZnlFF9pZ7+x1AHDdJrkPTMvl + 3V5BPnXJZ2talXUvwWPElKmdFdKKAJAvTD5WymmFFQDcmwI4DVHU4vVWfjFTaG0Fd11dtupZHHPX + ObVVd+Cc4Gzd1YSxioLcrPRKlPBjDGM0eRPqSfFUEYh/jiU0Aa3Nsa2BMTKs8Kf4KP46vzKO29LS + NlAupMjtNvSErnl50N8K6kzKdx1djy5FYKdsB5OOKV6bjjs5b9rVIw/QKOfYtS4DsNmeRcNKaVUA + hJj93OhstK7SIr6sqogokbteSK5mnE+PskNwW3pfb28wVdkMU4Si7haeroS3yPWjItkw9235p4yH + 5GJefkIrGqttecaWQHaRvkV6+9rsXnmba31de3jNPg/NJkteuIhVPiJ/2p6Tj+DRMavAarFBWV2j + +/KiT5SvrGvacb0V2DQs5wsApjQNOrs+3io0PbdS++hYFQBxbQdyunPaAAAfo0lEQVQQETIHIS2I + Jp5SNdiEOG+GuUblmonqy6vfxUB1q9UFqK/rtncPdhxjmptTHzBEOEfRG1d7UzPetBXdfVaCdlbP + HKK8Eb8s3tdWC7b3i3ervOuEWDSW0tLuntl8jkPzs823vfy2Turpa/Z4ZLmCP3u8AEHzpA7825Yr + UfnOP217yEk+mzLT9pZ7ziZndQBE8I+WoqCZ+1hkoksjmLIkPCJWvKBhZXkPRFJePy8oBVchrl29 + ba2ILgXUfcJRl1m9qaBqvddosf7EA+xzrzdXp0yncvUUEO0J1r1S0KLSu4x71X4B0HX097VZBRB8 + 0t3+zKbkGV9bny3X5eVzrB6bPL1HkzpboWh1TX4ysMkvdd32UzpGEMjdk3XXSSbup45adTpKL/1z + lEX17Yz/nyjLpdCy3lJWESEfIoEAoYixtSKM/Q39aoKoAij3WynKh7eR3/UimlDcqXsLdQaAgJWn + jvXuVWUZfwOOX4SOJ9DQGFVHmI4LTgPTCrWlS+s6KwAt9vsaYUtoaSE6d19y34ZH7WV/17ix9M9r + XY9yjru8bF13d29k2MdNhy7AfICy2mmlDh5TR+fr++p13HU7Vm+DI7dPHABp9EmMqhQBaSINa0pj + JVcNmNItwqoLmCJuNO46EEhN3DhZ+FPCXAzyyhvU7UVlFMPjownvWSg6PXg1o5QwfIu2Fp/tB0jT + iaTUuFAE3Q1Q9JSHK+HJRHDacs9+9przvq8Ox8l338g088d1mzRbprO0TJsO8ppty/WSW0X9g68p + PbP1qs95t+G46e7yQCDlulhlxbSqB0j1TxcfJYhZIsmmG15EWRFToCjPwG0hgqARZ6WQhMAqX8Bo + ocjTI4vuKoAPc/Jsyetqy7lQJkOva+SzKa/+I6PbKiHJ0/TLM9YT5FpUtcBH1zsrSOU6Kd/Wah/e + 5lPXg31/Zn8KWvE+qzz31eFe0Vk0NW0lp1KHPOiQz/XqagewxsjCvc7fNCrTbbjfm2uhZclIZWSc + /lkVACH2+bjMIbfUt6AwFUtuDGGmYURQWDNu774ADqEWjIhSc2kkgkkN2Sigu4Fyu80Qdy1fgwiw + ErxpvAgYNdWfTAhdL1/ai1L0lUWfu60ExdCuWzOvUIxV+aKnjrtMC7jPmzfDtalw5xIoHyXY0Bmc + VvQvH36aJ22qh9xG+6m87/e+29MFqAffZKeuHM+hq+tVD77VpbzrUu/HSf5ELkfR2fdWBUAqfD0V + PU6xiwJtiynCM8SYF3jkKd28R6LGsRFKNithCr2Iw0gPU6hPcNhdR1s6BTmWOjjELIFJjqfMHwWA + Rx55ZG/IfTGzgHNR0Hw/Lla2PYI2pQ44tddK6H3dL2ES6GwiaJMp8k4VuD/TwDXRP5Mx1roNnRQn + Oe66XGvlqMe9TmTUm+vkxfIdGyEohx/PHjyGN8fv6SD5py7T1aOq3nebLob2MXvabc3uFymYvZpj + FWTbH4t5n4YRrPIOnpx7GIKQuOA55z2UISx9/5lnnjEYIHxl0Zi/qQNKqw0MNtGsnmdQlzzuNSPW + 701BsyX0LNFOhBKlH74pAPprAeLcmWfUG8VFd02vtkKwqc7iZTHCX+RvMZAtOioPnsytKzf1fD9e + aXYtijtNW+22Z3mg4OYVHc673dnrygCAfefTPgO7//77vxi5X5v6zwsfP8yj4Hen7JhzGJmnf/Cu + TlvoXfyxw9lMOT6WB8jt+T2EhcgmnmIkDcQDzAUAR/bt2/fBvXv3bo0l/k0uErGi/2aiRgRigZqm + NKykQ3U4LlfmmUO5xiK83Lh2pVOzLnG6drBMa1ytP7qaxx977Hu6Gm1XMAhki5Ms43p4qZFJFDQV + Lt6WJ3S1AN3rPFPLH9adh1LXaXd5imJOIzORPBBMwbKgePc6aWOpbMv7uE92uoFFGY5PzFydV/T+ + MLy8FNnfGzD83akHGFV23epsWnPNbOmK6wEVWhUARdiWn7bbYrGd3NOYfSzuphybEDmS4z8gaILx + fN7TO0leWx9TsHq5fEAoANRcQQWBGisX3ZZLmI5jFTWsGLUt/tn/4P5rACRCHRoFrO5e2hLQa0Oj + IJECJNck+aTON06m57P3cjwf0H+/78/uQ98O/HgIAwSObcqTQR83HfZdN7oo1HMESR02edCaB1/f + nm0rILhVl9v0zu4bXGkzXryH8bOl6/iYAEgld6qoLLatoty3tQDvee975nNvQRBp7Ejc/RFWGxDM + YwjTANEEIYbSdQsEVArG4NLlU9yfssqpR1JvLGJFAEQ4GD0YgQ0tzgqjyyqPF/GKYJDgba63EuR1 + 3O63y6oPCG0R/CMJPB90b3kKfafjcbZOPEjNj7ok17UlPxlRJl5bbmjgAZq25GFos+lZdaWe7ApI + 8vax9nL+QMtvtmAfrwoAGcLonyLORBBrViHLpEBu/Zff+8up/5QFojSc609OXxObo2AbJrgz11mp + fBWc9cxXCVabFOQ+AdoARLtVJu2esmVFAOgfs4DlOgIgWApu7zIVxFCq+gi7YpkSlvu9pR3fSBrt + dpuuqTNlxzAsbvg7QLRSAgB1dV70OO+6irbFFcNNLyW5J59raOiYigwdq3NZm6/Kl/xz2lBO+W7D + tejv9pMGQKL7n6eyhylFZdPGhvtUKSsOUUtmmaK0O/S9VrT2e38WlXgV68ysVQcESZ0IZVGMGqAQ + 37OOlO868FT+EmKc+KqB6969e/6rvKXgdq0FCPW2YLWJRqkFrj30EKb7rkuuccn4DS1zEeir9957 + 7+/2/ZFp5k+UtdO91LegFLdbweSIvn5c7NyxrYEXmS7EEP2UEB1pfznv82nvdfltjFV9DQTtBKjX + zZB31OHyCpdkmK7C/X48wRUQbxkYIsfbOXPxBoW4JQFG3NiuDE9+65d+6d1p3EcRKNxbuv3NH+8I + VvCkv0OsROiYtGFEogP3XcMYJiPYQsTIsfRPfvX7xosv/ivplubnDh58eoAJ3Ra2SENpqZN3eO65 + WisnTtAe9xs+tTEfWubQljZTZH4oEs2uJdD9x1kCdnBpy4tnUdJOFuvFjFkgaQMfaLABnPv4IlOG + YW0fIOBT30/5hnpokyL/nUZeswkAcn6K+m3q5g1DI8C8mPcdb5vNv/z4mACwzi4C/O95M+iKp576 + WQg7ZRCJ2NCdt3MGQ0XdtObkv+bQoed/9/77Hxg/244g7t6LoGef/RcmH/3oR8ebK4TUSkc4pgmC + YCTlnDeaXXeccqsCIML7xQMP3H/Nc8+d9bEwvyMC3xEhbonyxgRKtRe3OOguj6ItSuAhvO2U4HWO + tVpJvC0e6LRTT5vf+Y6dFHNbRjzfuv322/8nRa6WAvh3jJdOQ3vxXt2LMq0kcSwF41nbFnziT7tk + 6zijqsmPfvSj8cIqEE8N5aip8Fwf3ZL6lTtw4MAYngNvwHJdlqlVALUKwccEANRnqLHrvPPO+2n6 + 07/EbUawg0gE6WPT8JLJkCD2J2Hk8Rde+MWveIuo8nH1Wyc33HDj5K677pp89rOfHUCgkFYyYchL + SAQhEaDrUt/fsX3HijHAyJQ/N91002+pU5eizQiFi9mW8iZoQn46lm3btjtO/duT7zS4kidtb802 + pj9zL19MPeWl0PR0SjwaIL1sAgZ9x0pR7GnWJKCXUoCfYpoPtNkCrNGV8qoNFPw/+OCD81H83K23 + 3josWVs8gy415d67vG1lbOpQr4mizFfMJ/9cPl13Ja92rHRMAChooifpn2S7ylJnw7uXuamM6a0O + DnNLEBYAzCcY+/0o8Rs+KzN1q4MBArnllluAanLZZZdNPve5z4019C0s4BLssAp5CZulAB4hYjLz + AccEgDwAZJt6Tp25PsC24tsxuf6mJIpIXLQVvSauOu7AH7o8g5DcB5LT83DTw6wAcj6Km7vhhhsm + 8TC+JHpXZAeUZ6Tswaz9Pyef2fEc5H3Kz6aUHS5T/QzWFjrmTFLFi3x/Nu9Kx28IAIUCgqvTpz2D + CP3RK+nPKWo6JF/iDylu//59/zZMfsPQ7oMfvGC8KeTde67M8FEfde21105+8pOfTL761a+OLoEb + xIT6G9HOK/pdBEAYXrULWInB9byG7gB1K6ezJdbouYQeKzQPUKf3H8EdUNuMrACbxVP83XffLcY4 + EL4vcp1FZ3tf5PXz/fv3fyfd328u5yd1L0yMkV02I5a5yDvFXqjv9C4vNHN+XABI/vm4v3+afvA/ + QhjFQDVLTTrKJz7zzLMHzjnnnAc/9alP/caXv/zl0Y9dddVVg6Fns5Zf1/Dkk0+M8t/85jcnn/jE + JyaXXnrp5Nxzzx1gIUgBl0R42vPsiFBy75geYBR6i/4UALZs48mMYDr4rNnHdEvxmj5IgY+nfvbU + JJNXE65erGWamYHk3nyM7el8M2hnQPJ6AHFPPOElUepXUv/1y1nTJvnY003yGqkw2quW513p/HgB + QCHXqICFSwCgoaQlHsAFBOXe733mM5/5Z/WC4/zka1/7mjuTG2/84eTmm2+aPPDAnjD9TPIdGULw + EsOnP/3pAQbvFqofU/YDAOnJp9c2rAeg2Fj/VorW91OIrqjCkHQBGfq++PxLcc17Jnfeeedk/779 + kxcTeFI+69XXf+QjH8k3pd8/eI3VM5JLdu/e/Wep5zcTINYYmpCniYw6NRDIKfX9oK8fa3/cAIhC + H03/NgVADWUMWULgUQDQYACzKwwNd+QZQEf9v/3bf31y8cUfmVx99R9Pdu3aNRgnAAAQZLWHmYJr + gXbClcLchvUABB/Fb+PqfSeB4zIM9q5gJ6BPoDpAL2CbWu0YYn/4wx+exGgmF1xwAa8wn+5v7o47 + 7hB4n8atpztIjUtT2vTUdgSuPA+PSS+R351Lc658VlJd+d6SqyHgtRDwjMBP38T9Q21Qd1QXoGAI + eCjEjUkVyvQCBwK5w1/7tfdPrrjiislXvvKV8Xk0RKur3aD8kN1Kb0+g3o0MgKlctgnygKC6yHpV + C+2u69oS6Y/gWizEagXXl1xyyeRLX/rS5MILLzSHMB/QzImLxEAx/F9VPumokD7yGI+C1ZPjUR/5 + RV8Hqsix/x63B1BN3PVNiWw/L3gJXcMbpOEVPUAIeMZ0a+aA4trqRycP5usipyaG840B7u7zn/88 + lzf5wQ9+MLn++usHclNuKF97FG8TUQMPBuNNNmwXAACZKwkAFl+E2bZ1kdxylnmla7qM7p3vfFfk + uH10fZdffvkkw+0hg4yk5vAKQJQZQ/DN/seyPUouyxIDTMxZr6aTHzCk3JIZ2mVlFk6P2wMokYUW + t9QQqwJBDSUtGQa6IEVxL3ub12SRLmD85u90utesIGvnGi+66KLxmwHb8xWSdmGUrh0CGP1qJqA0 + ZSPgamHj/aWE0BsA1HwGCgV95Qlqwkk3uiPPRHSJDMFQ+JOf/OT4LL4hcytQHZFvZjXHxznnEhBu + yfGNy7lOvvE7AG390/LWc66ol+XlTwgAiVJFpCHSLFoxFAKMr49Kof01bp8CDQe5eccSYilb//f8 + c/mQ9JnvHM/7Ea9+SV75DJccV7vDGk7Ia43K1unPCP6OvH5qKSFTvGMyqr4tWLbCIZorqJGNSSBf + T2ccRgD6bhvDwm94HwttyCF535tu8nuzrAAJADTAlHMt+e9uOc7mX+n4hADw/POH7lexDzQN9z6Z + O5IGV4wBEJUo9iXPAzBAKMNFxhsMRWfq1QjAtOy789yAm3edZZQr1Z8FCOk3zeoZVgFCWN6wHsDk + ThajbGuFeAFl6hUi+zCjP8x/XkAeIwX9v+8o6evxDgDkpZygf8g7eSPn72Ry6KjVvZHJ+AJo1Tfy + mRr+EVkeTzoha0rU6iWM+QxnYG+y8/TTn6GUlRKC7rnnniwsndthnO9buD42dfqOvNIc4kySYDTz + JmNalLAEgoaNUIyBvPU9ZsrMy/MELCcfhtqwACCH8DQAwBrxQD68nxQ2AHjwR7GGh2Khvk9m7SkB + QDfo4Y8tK5C+7nw2Tes+JG9bf47n0pW84Qxg17Oy9vrusn2mFw+H8PsP5xVsU5in7zz9Z5S4UsJ8 + EHvokUceGQwUY1Hs9DGwbmQqjmnEPD+GgZjUNVA2C2D9BYjkj1DD9AmBdiXa1vIaACxRehQ+FMwB + zCTK1ucbFdiUwWd3jT0qMqUb5X8rI4YxJz9TRR8eVE59QBUAvBK539o332h/QgBAVIi+jhIHWrdu + e3i1BiAyRB/CpPG9eXEuv8f53B3wkEvNE9QHFgegcpGHACIPdJiOJ3WH81g3bnPDAmCqCA+eKGIo + 06fsnOfB8lDykSiKLFyjsPJ0tfrZecsndY0VVcARGf7eanJOlzHeilLOFh1dG3kveUK7WlnXTwgA + CuzZs+ffUy5UBwh3IXC1lGcGB9sVGvNyeRZu6g/1dYenAY8+ESCMDuTTl8o3fdoYYUag8QSsJPVt + WADo02MY8fdlkZRs7O9czMT9j4UwUTTPRvnkgC/HAEReUs7nyCLytd5rz7i47I8yCbQf8sDOs5Ys + UyPHf2N/vOmEhblv3777grJ/mQa/mpUx/42Fr5byPP1JfTe3hjFeYwgiQgnPUXQ95Nm+3VKxUwOA + ermRwDAHMMpIix50/oRBuxp9b/71MUM6hoH0iOdZHngwVm7VMmsV2Faexa964BdwGITjGJrFJ4vs + LyM6i2BuYYS8c+r645tvvvl6dR5vOmEAUMyVV1759cxXf/3AgQODgdUaC/FP8RQYgnT9O0vOWH4U + KUDUtDL08wD9CFU7GNFtsKy8bjPKJN+GBcBU8Fl3wPJrGVjFNNOvrYUXfAqGJflZOTCQk3uMBe9k + Q2YxoJUXH44aJh6t/6+A6g+yPRbX/43EC9M7x7c7YQCo1pjVo9w3SlH88ADyeVtnKDxgjt2Pohg2 + /DmQQLFdYaMXSIa1JN7gNYwS5I+7zG7RVb4RDet5H39R4DY8oZHyKdO+eef6ax1FPegCAJuAV16b + +AEgyCLXV+9jw1zc/+Fsf+Nk+Vxja5rby7VTpK4Cc47Nk1Owr4rbHsqPLpoZnE0CwwJALakiECkA + WGOaZ6k4seOp8rbu3Nm/91tdHA9WvNfwFwuUTMH6b4+D3ef2W/Gif8M/RnRiVJxY7pPyAMfbRKz+ + Qei2uBRzrLwTS3ghoLgt6954E1/3kkcXsegFasLEeQsm5TesB5gqeXQBXLmNsnmDnjzDJ48gNrIs + TP9t8aePQ7vWyZNC9+I53r4AiAWPcFQfB9Gmhu+77z7j2mHdWTgy+cWh+pnZF8MsAAhfCJLgbKJm + 7lMcERxIG9YD8Fh5DLwVvTYE40UMZxjo2OduWTZQCwr95Ky8eeY/ugHBnOlhyiez5HticL1Gf9bY + A7zy1MthhHu3NMoKmb179+ZZ+C0BAKWmn381yh79faaEp8vCTAIR2hDS9PUyVmNGMO404dXGTBSZ + ofFWivbElIJPn7fKt4JCXUEp9vmFuX/u3xpJYO/Y4eMf//iYIQSGgOqhteR2Ta0pln84C0ifFgBW + Hz83ln6ZFgYGrlHSHTjy0zLcImH4LpDInxsVNPEiMtUwssqNwhvoD8BGyVYWh976vr9rhoISfvAM + IMBSXq0WseoqeUC/sSgP65/K5/gH9SchizX1AKw2ys+7+/PvwQz0//qvv3/yxS9ePrHSRXdg2Hfo + +UPjocgHzz8/08u1TFrUzzPo+wWSJdyxln9jan8q/PDp9fUoud7QMfmDB3MdNt/zPz98yuMr54Dg + +Qfvd+655y6AQnDIAyTfwyeh1+MusqYAYAkBwb5slxg6erlEsPOxj30s28cDiBcnt//4jsmevXuC + /u1ZKnZxvMAOoFkQBOHxAgBEWICwURMaY+We2w8SRfomu5A8ZgCjdIHehz70l7Mq6lfHk05rA4DB + dQ+Gemkc4+ERYjRvXw9QAHjtzrjvvyUGOJSAz6tOBEWRLOLSv3rp5IK/eEEEND85K10Dt2j+n9LF + CO0qW+kpK/XphtqbowpgMw80/TGLV01fL77oSamGiFkxPZ6O4tmkEVlYHGMDBt2dWKm6ksNPrSWT + a+oBEB5r3qW/zxPE+cwFzBneeAbO4vX1mNfviQMEQQRSyveouB6dDkuaKn0DTwQG2LUCyLMMNAta + 8AXIW7fWr46Wd5gfQz+AwD+lu250oO+3kUHuPRMwLI6d1wAJaw6AjHHvStCXr7a9Pl6yNObVHUht + yFydQM/c+NZ4gHahW7L8j0fgHu0J1X6jpqYbfQ1aa/7EOWM2M7Tr0s7IJ2woXmBM+Q14w2TBoKen + 9vEAYx5lLfld01EAwiOUF2MFuyldUGMPBDXEqalPQohEhlD8FiDLJyibFUEEVMovayK8jZjCa70S + HstHLw8H3IOfDG1dkxg1a/eanYR/5wChi3Qsb+q7R+C8lmnNPQB3lgDotrjuC80EAoB1gKyaO+ce + 9emHo+yt2V5N/ydwstKM4Dw540YJhBWxso0aA1CUftvoBX9ohVWAnc+PVunb65F3TYsDOF7kY/2M + QheJV4oPMG5V31qmNQeAZwAZ0//J9h3b/87L+ZEnzD4TpJ+RoQ/hCA4JaAR/6QKOHCmLz5ffI5xe + eFqLRgytlN/IicLwwmsBPNC6hkfnhn5mAwdQAvRWPqWTFet3bXp+y1rzuuZdAMbzPsAujLDwQrdP + mNevjPMIXKCVwwTEIghnjIHz+NTcsPs1ASRuAIuNmcLr+LwMEtFsDwxkgCf8VddXVk3RFM4T8A68 + paTrkNJV7h4Ha/hnzQGA9qxR250o8OXTYhX6RNEvhj0YAQDC8dyfcGb166mhf4KosvwNq/uhIooG + cKlnOjtoBYjiubwCPvGNf3lYv+BPHbakh3Nv9dU2crwJaV0AkODmSPryXZRpXrzdo3V/lI55di0m + AATCYgUspgRXCyqGO0gdsyB5E2TwplXRymtli2/EMZVqRRSejf8l/IkV5BcYO++Ua38GHGud1gUA + kJ+g5ipWTNFcnmGO7wx4aIJReXQDZgdZBqtwzQwaj0Hptql1rLVcTqr+AsDrh9GML4AuY1Zd0V6r + oZ1XTGDugxEAACBMefTp3R/ie63TugAAE5ni/EMCIgDWTbksf0wS5di5VEpfGugBTQ8FCXKjJgAP + ePOqVrqAWDpAF1/l1gGbDPDTwHAu4mcQ5FL35+YyKjiu9/v/vLJYNwBkePNEEJ43i8qah4VnhhDK + bdwfy2khsYYOhuzFDjVs+vOyvLblY/WvmNDy5A/YPdegVOBoCy/PUKDg/QSCvEBk4l3AZJ8/lPmA + B9aW0qp93QDA2rNdzSIom0VPLWZQQvGAUFZg7fz4dk7OTQyZY++5gPUQy8m3EVrzokYBFn+6AgDw + iXq84xM/ugCgBgDWL28AMN4FTL4b4hUqUDh5Uo6r5LoBgBDyiPM7hADtmfOH9BzXt3EBhCvkHlmO + fIZ+gNDegNdwbSOngPsgPsJaeKg1AQJbb1Phl/L180ZA9qxf/k65NpdR05XyrkdaNwBgJgC4I/1i + Vn9Zx/5KPh7h5Yha/kzRrIVg2lJ6iTiLIizCE0Gvl3BORgHhI7+1VF1ar/9j4SZ/dHF4QD8w8wbu + 2YC8u4jI6f+cTNsnU2bNZwJniUqk+3qEcs3WrS9fzvX5mmY+xze6BN2CLd8XHO6QMIDCMInHAApu + 0nX7jZpC86Ome2sKfCwTH7EL0HvOIYRFPyBMu8XBJ0C4ns03CVd7D/BNZ3tdAQD5GQ38hw984AOX + Yz7KzavMW+YoFyAEeaX4+j4gC9EltEA9LHkhU6kb2QOkS3soLnx8qtWkkAUukq7LWkfPNvCIN+sB + gVsCCCBIl/D77q1XWlcAYCrC+eF55513OIyfmgjZly+G6wcAip0CY4CBRzBMtPedAYJ9KU/QNjIA + 0m09oF83BLTmoSd6LH5517tqpbN7Rgf1PeMa61M6ABw4cOA/rZfytbPuAEh/92q2a/Lt4C94HCrK + x7yNUFiJYZTxPuVXbFBxATca61mf6OgktRBw3s2VW/0kBsCTGcF3vOOMEcfo9vDq8zn54MbwBh0X + BOgPxyvsO8mmT6rYugOA9WaS49+de+5vfIFCuX0C676d22QJzuV1j8Acu577K36S5qS4X4NCAeze + KPTx0P0rwIt2ga5uzNAPD/hm/byecwEufjP2/1fKrGda11FAMxaU35ho/tUodT5dwfgxhlY2QVhP + z/pb8cqxolpccfQPSHe9G2E/jejHD1oDLz50a67zCrqxn//8qcRCT47AtoEf0BzJa93fXm8e3hIA + BPmHIxCfMfFZ0zlCYAUlsMwMHn41br7mznN/fCnL8C95nsjz9BvXW0gn0t6D+QZgAt1vZci3m2s3 + 4YU/1m5k4ImfN6IAwj0eANBz/j8S5C757YUTafdk875lC+zCvK9g/22Kb7fv2JaUpVV+omW8J+gH + Gu+NUP/h7t33/YPHH3/ixN5/PlnJnGQ5II6SD8ftfzeLXS/P3s+6LXRn7gOEuQITRN215QcevpDg + 8Q0/7nySZK1abN1jgKYkrvD6888/39cvtuj32hVOvcGRXPvTRx997L8kKv5erONx198uiVLjCZ6K + xefDnxf+8yz3/p3EOgt8AkTzi6cYwHcz+bOuwV/Lcphbn6znnhDy9bD/nfff8+se236aIOlPEgTd + HGDckf3D6TdfI8i3e+Ld8rLnORn6/uvwcnl4Gt6tF7lEDg/HY1y8e/fuVX+GZi1l8JYBAFNAoJ98 + O1n3ySpDAHv22fkk5Fln/074viw8n5X+/7YA4h/ly+EPrXf033y8pQBoIv5/2gN9P9rmHQSDZkI3 + 06YENiWwKYFNCWxKYFMCmxLYlMCmBDYlsCmBTQlsSmBTApsS2JTApgQ2JbApgbWTwP8DR4LHOzRW + X8gAAAAASUVORK5CYII= + + + + + 149.532682 74.764481 0.000000 + 74.768318 74.764511 0.000000 + 74.768303 -74.764252 0.000000 + 74.768303 -74.764252 0.000000 + 149.532682 -74.764267 0.000000 + 149.532682 74.764481 0.000000 + + + 0.000000 -0.000000 1.000000 + 0.000000 -0.000000 1.000000 + 0.000000 -0.000000 1.000000 + 0.000000 -0.000000 1.000000 + 0.000000 -0.000000 1.000000 + 0.000000 -0.000000 1.000000 + + + 139.917480 0.000000 0.000000 + + + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + + + iVBORw0KGgoAAAANSUhEUgAAAIAAAAEACAYAAAB7+X6nAAAACXBIWXMAAAsTAAALEwEAmpwYAAAg + AElEQVR4Aezda8zu2Vnf92fvOXl8Nj7bGO8xB2MzYIMRBqkG1IhAqr5q00hRqyhpk6hKaGiavKhS + KUrVN5VaqScpVVUpUtsXFSJqhCK1KZGpAEMdsMecjTGYMcaAj2B7PAfPHu9en3Xf373Xc+/He/Y2 + nnnTuUbrXuu/1nX4XYe1/v/78Ow5O3uOnovAcxF4LgLPReC5CDwXgeci8FwEnovAcxF4LgLPReD/ + RxG49Gz7etddd73yLW95y088+OCDb37lK195+Z577rmEnnrqqdUPnktf/vKXL127du3S3XffffmF + L3zhpec///mXnve857nGc/nJJ5+89MQTT1x67LHHLj3++OOXPv/5z1/+1Kc+deknfuInnhV/fuiH + fuhz3/qt3/riwXV23333nb385S8/G1/OXvziF5+96EUvuja+nP3pn/7p2Sc/+cmz3//93z/7kz/5 + k7PBe3b58uVr995779n4sJrYj59nX/jCFz77oQ996D/85V/+5f9j+K49mzm5+1k0dukbvuEb/sY7 + 3/nO/3ECdnkSeiYYgiUIgqKf5K9+gnU2xXGGb4pgtSmA63CvXr169olPfOJsiuBsiup6QK8zPIOD + SfJ9o/6aalQAL3jBC1by4RhSqGcvfelLzxQIHxTBpz/9ab6tNb7y71gU+F7xtre97Z++4Q1v+ND7 + 3//+v/zRj370A+LwbNCNiD6D1iZgr33Xu971f37913/921/ykpesJH/pS19aAWBWIQiIpOo1iRdE + u2vk106bnX89aIIsuIKpPZs0dhUAuuZUUgSSXwGXYL5WHH/8x3+8iuBzn/vcwgs7f/g8ck6GSxMf + p+JDv/u7v/t//9Iv/dJfm5Pjjw5mnrnXZ7oALs1x/6Nvf/vb/9vXve51lwVG1QuWpAsYEkABQXpB + k3RHql2EjoG6XiBODvroSM9ifBZeOrXGrlvV8kfRNm+sQJ1O1hWyU+y1r33tmQL4zGc+s24L+TV+ + uAWeze1s+f/t3/7tPzzx+vgHP/jB//6hhx76B1Mojz5Tbj1jBfCqV73q67/v+77v/3rNa17zoIQi + x5rgCEpHvUK4//77V8LteonHrxDis1M0CU+PgEXxdf1s9XyAi30J51u3o4rBtdNu7vPLz2/8xm88 + e8UrXnH2B3/wB+tE8KzwxS9+UbFcm2JXC4t/To9L3/u93/tjc1v4mx/4wAf+3kc+8pH/aex8ze8L + X/MCmMRdesc73vF33/rWt/5XE5TLdrcAtSs4aDcLniQ7Jr/u675uNYXQjndSCBx+wU2PXRIpHmt4 + 6Hs2iU/saoh/SMJhUQCKQ6+wrcMu2Qp8ErtOBc8G2sxfP03I0z907Y1vfOP9cxv8x3/0R3/0D3/x + F3/x35tbybstfK3oa1oA3/RN3/S6H/zBH/wXk9hv50D3Rs4LlIQKiLEg2Ak9PUt8fAKAp8AVXE6X + 6BKgr32tgvJ0emDTEGzsSzYy79q8Aq8QFIbbQDxi87KXvWwVjDh4p+ChVpGIxchdm3hdevTRR5eu + ie1r5p3Hv/yd3/mdh37u537uL827no8sZX/Gl69JAXB0jqu/MQD/8YzvruolWWAEQwDasa7t/Fe/ + +tWrF4wC14Og5OOXcI0ePHa8QtLM7cH+M8bijsRhqcHyyCOPXE86RXDC7zmAT5IqThKK37iHRKed + 8dw2zz772c+uNnzrRLAmPnyduF76lm/5lne86U1v+p3f+I3f+J9/9md/9j+ek+OxOwJ+wvxnLoC5 + x9///d///f90Hlr+jZLFWQnvvieZiqEjnkOu9QLRDm7XwCi46XONT2FVDAIjKK4rDHzPFsEcRsmv + YEsubAqAz+bgrLg93Lp2/7eOT8w0cVEMbgveObChaCbR6xlBYQ1devOb3/w3pxD+4k//9E//pTkV + 3s3eV0NfdQEANg95r//O7/zOfzXJfj3jHJGkEtNTvKOOUxws6ZKNF+kFVJIrCAHSkDU884HPeooW + cPdSx2V8eJ4lWjszTJIrFp5jEJyKQS/h1vLJujEZ8pKt1/BKrliJm1iSVQRori/ZVPxObnS9bD6U + +pdTDH/vve99738T7xK4zZevqgA48QM/8APf9M3f/M3vmYS+mq0CArTkSrR7nsBwSs/BnOWwIOHl + mLYXBZ3m7HSJn3ve6iU9HdbaXew+SzSmDvd5hW53wuep3rzY6CWJv3yEN7JmXuEat3PxVMx0iJdC + MvZs4NZgHb/bClIUYjYnwX89RfPeH//xH/9/xeRO6KsqgPk07555r/rPJvivloCSB2DJ92Cj+SBH + MWjW950hECU/HQKBR6I5ynlHpffO5gQNL12KSI/MP0u0Ps+oCGH1AKcIjPnp+HY/94DriEdwJpOv + FYt1a1oxIodvHv7WRnIqSG5xEHf+i/e8db486z82G+6ZLwDH+CT2788HGg8CDiRyZHHIuur1oUef + 4gHabSFeyZe0EoenAEi0D0y6DyoEzgtwwStgenPpWWCewZexc7egowqQbf5JCupBzq1qnpHWZxsK + A9Zk8JErftZQhU2XGJHzsGwzkf293/u9s/lMYG0G19Ou2SATs39dDu6U7vgE8EHNJOKvq3pHfIlw + XEm8pOvxcdA9i1MahxQJco1yXJIlHb9kd58X2NoSOL5IgqYoSsjYu2v03fiEaBf42o3vY49vNUmE + QwL4pYDtVH4oBkUgiTZHmCWPX2ToQdYqcPoQXUg8xc+D9cMPP3x9swyfL8MU3ysntt5nPrIEbvPl + jgtgQLxhQL3JPQ44oICbdwFn81n2KgpVK9E5ySnXVTuHJV5PB6fsdkXl2prg2QWa+ywSwI7GgiaI + FdiwqK47KgB6wlMxsnUL8nHd8oVPmmTp4YAX8QM2fihqBaEIXv/616/nAzLik20y7NORfnNi5hq/ + vncNxm0Ma8cYv3ZEPkzudumrKYBvtVslmQMquKd8O3/bjSsoJb55Dua84Hi4s0v0gsaxdklFYM67 + CDtJz66gCgAs2rGgXjD9jY8KT6LQKTUF+44ppn9neN85eF4zGB+Z8YdHzz+fYvtnk6zHnUAX0WB5 + jXkY+e4U5JPihEMR8w92PNYUOH0a7G6P7XzrkoeXDyW6OIizscLCa7OxhfhPXzLjx+tm+pktgAH6 + YJUNHOAcd3xzmmOak4FDGuBA1hcoR5fvzCW+nd8pEa+k+TLF7nHKKDZyCkZg8RXYkX3jXH9mRWd7 + oeM7vuM77puHsr89GH90MD9QwMkL8OD87pn7y4P7kQn2P/mt3/qtf/ixj33sc5uaNZwgP8iXvbAV + /nxku3B9/OMfX0XAL+T0Yh/94R/+4Sp27xjc03u7J6FOVDhQcROL8JkXV9dsIzgUQDEe/jeshTt4 + ueMTYIL0ZoYVASCMA9GRx7bg1gCWsO7vgVYECqbkq3C6OK0JmmRLvB2jCNijjw5EhryezATxz88D + 0UNr8fgyQbt7Pqj6j2btPx39r5zr9dZJsGvDur7bP/r1wjlm/863fdu3/Qdz/bcmaf/rrm+S/WMw + 8J/N/MHjhIKVvx7U8Dj68TixELwe5BQBnyTfsW7cSVohKHAnXn7iEyOxRcZ0I5iG3ALuiL6aAnDM + rOABIgjteCAkleMIOLvTUypnFAr+AqcIBCYnyNBpd/Quwu5y0sSjkD760Y+uwApMwaF73nr957P+ + 301gvFH2Pf2/OR9P/5MJ3Mtn/RKcdh0bxkiwB/clegbPNWOBnWJ7wdyv/5eZ//fnFvV3Z/4PRs9f + mYJ8B1uSTAYuPlekfIeZHb7R7wOa+Y5/xQE/uaO9lThjheHUgM0xT15B8HePl2v88NsQxRrmmVsf + yC3HbvPljgrgWJkv0QMFrKPeWPIDx0nNnAIAWNAQwNb05vDo6fQOwjEvmN5DSzzHrOETJEesRm9z + 9NM5cvcOpg/O7eH/mZ3zF+b+PPl69dJBD312Gjm49Xygn44JqJ+ZXd+tEjEfdv3AFMBDeF1LCux8 + lXjY7XLrMMCf73Yvu3a5hH74wx9exUCGDgXCHlwSSgfyHNFtQRzExS2CXrb4Ti/s4Z9rPyp5Vgpg + faUlaBHgQHGsZAOIzBUQ1+RaEwTXjnvB8qGHr0ldV2DkNYFyD3W0uv+TNUcX+/QI8si+cZLwVwVM + ggSIfbtlL1ZYCjhb5PHg5YteUiRH4q3hZw+eku908yDoVCJjzbshxzWe9DjVJNXp8Nu//dsryfSx + gcQN0U/GNd18VQTkzM/XwstvvCX/OPZzs/WA6vp26Y5PgAnUfYKlBZajdgTAnAIUOISvXgKaJ2ss + UHb8Aw88sBztNBFI/IhujguygNg5JUFywmNsXgKby45Am4PVHN1hU0zmC6i1SfiwrUeDxV9x4CHf + SYGXPQVnd/7ar/3awuCHH0gxw8QWfFeuXFnF5HeC+NkNB37xw18c9d4lHTGtwjcHA6qnY9pL1uQd + vNxxAYzuexmTZIFzLBsD5bpASWQkCOZzVDLMCYid4WNTfU5by3FB8nGw+2PJZ4dNNtIlAY7KPks4 + JvTaJOwS23TiFTDX9NfghM16BTg863aAh4ymWO3iClwhSoznG3P5N7/uvb5z6eMbX+liW3G4NVXQ + ikkc7XoFBrsiYJO8a7bIFnvr+MUC37EwD+8PC/xt9HdcAAPAb7OvO8xxgdNX6RzFA5R5QF3jE0TO + 2/WONYFJHz48nJJ0AZJ4J4AA6NnQ6HbbcE/Xa3T7QEnA6JiiWN+gSb7mOBdQSaOvxIZVgK3RradD + YioCc457xSZp7HgeqSgUa77P28jlAxkY51li+Q0DvfTM9ylrdyta/pL3tpEMol9MIuPi2Txd8KOx + fXi/mcBt9F9VAdAreBLBYQ1Ywa3hMRZUvBI7Pwu/nngBsM4hhIfj9DjuPTXb8XaXxlH2IkH3qVr3 + R9duQfHQLTDsWxN4+sPNbonOh4KKTzFJvkaGLjrZuzLHuLlOAA931ugLK5ySad4cnWKgePRs0qFw + xcJm8G6hzwoUu/V4xSUsfKSbPXqyPfz9WrkwPW1/RwVA2wTCX3IsxYIoMQAUbNec1RCQnJZ8R5+n + aGsFnZPkXXtrZdd7n2znCzBnOZ9NvSTYUR4aC6jgkKEPwaYpAEEk1xweGFxLOvuuzeMLmzn4YQgn + /I50J4BTTPLYmF/oLH3tcDrhR5IlLq7FgJwTiQ6yePlhzW1MQbnvOzkqIvL5phcTtuDLt8F9+IRo + Wb29lzsuAEYAKCCMI0ETaM4UUDtPwOzUK7NrOE2OTIEVBLJ2iWNQ8u18D1bW8OO1I9sp3in0mboA + WhcgY0Fhv4BZ09gMt4SGuzCFy3X46DEPH32KZeejp3ctkiVxTi88cCgwmMkrbvropksRwxXh5aPn + IcR3BS1mTgPFmF/GCoYdGMzTNTqe2QIAfoK87jOcaIdIPIeBBci1eztnfETKWQGyJhjIblDlnOxa + 8ByD7XwOctTxSFc9fZy26zkOix0hiPTroxJNl7VawW995zdnXSNXoNmrCOipQOGaX0etE8m7AIXQ + yaLHCyOiu1iVRPFiwybQm6+wJN8pgAdl07hbQj5NfA8/PrB4m3RHJwBDQ/dXye04gBWDBKvi+ZnY + OvIdlZKBv6NMUDvqvRWy0zktUJyVfMHisBPEx6veV2uKSvAKPjB4BYV+OhSCOTrZOk0wGWv1+dI1 + 3eYQ+cg8vWxUaNb5zb4eVoWu6Od7hFXMHvBgIq/nozV+41XMjn2+0e85RgzpcKuwKczTw4ZYw8AH + 8+bg0Aa3j7nvG1u3/bOgOyqACcZ9Y+Sy5DBYcBWC3a9/YN7Pf9d3fdcCKpmcATQC3q6fHzKuBx4J + Vcl428V0c1SA7HzvGhSTxNBFBx7Otyvpdx0mAW+cbUHTmteX7L0oGscXTz675itiX6GSYROGnnX4 + 4EMfSYfZBlEsSAEoBKcH33pAJkNXNjzrsPue97xnzRd76/h2rNbG/qvG1seWkdt4uaMCGOUvl7DA + MdhDkGAVUH1VL2ECE1CBcPT3oZHEC4pGruPRjlBUrhWRwKbLGOEXHHjwFZzmBdxYgyFyXQCNkR7G + rnde8+TTkU048JtnCz7FALdm3VO+WCiUTg62yZkXD2t0Og0UAKJTkeFzGngu8ikowquFqVizPafG + 358vmv6TsXXjPrikLn552gIA4Jjw54/yv+7jVoYEXGAAwdMJwIxAmLOGBxYBQhx2C+A0Hvc9jgiW + RAsA3dkokHRqkkzOODn6d3vsuG7eGA6ttXCvieNLfC7jbcwWIqfBq+WrNdjciviHPKhW4OZLVOPi + o/htCLxirbVGv5jM31iuh2SyFRCM4jqxnOE1f0J/Nt9i/p0pmH933k7+D3P7+N9H54cHysHxher8 + y7kCYPTKPK3Pn3W9darxH4yhPzfKXz6BXE/+RBkVjIAySk7jiIRytGC2hl9CFAAnuvfTaa3biCKo + AIydMHTRjQQ9ncbhoK+igBGPtYiOmrl9XHLNkdPT0bXxTvnSDiWvkTUHV8QXc3xJDk46Jc+ceBnz + 0bsmeujDQ5ZvigBfOsKPZ/jXB15s4J/bysvnlvKPhucfzfpjU5A/Pm9T/4uHH374I3Kw0w2kMzu/ + Lz/74R/+4VcNmJ8fgy8FyE5kRJM8bYDM5eFzcsADDJTj3VHlQRAvR4AWlBw21pwCrSkkx76EswVo + svQj+s1bN+ca2Xka5wXcmiC7xhMfXmPyUdfx6PcGHwpD4+ToMmYrbHzDr0kKbBWAJOKjV3yMxcHY + yeHbRPLmix9Zn4/scWlc/PmNT388NTnpofD+eZb6q3Ma/eFP/dRP/Wc+YNvpXAEQnPYXJ/AvCbye + EQEFiLMztz4nN88hPCXSnCLw4COh+KtmPIDTg898gNkWKLrMI7KIjDlrmusjjnWNZ7/Gk6we/1ei + +NKhv4gfXy37eMMLn6TpKwD84eV7RWodJUPO8a8AxMa6teRtRB85WxNvsYPBtWZMJv3HjXD9D1iO + G+Eem/mUzhUAxlH+fcO4vkDpQYwRR7un947ZDAPDyaPRBcJn2t6+HAvqnDPk3AJykFyVW2A4pO0B + KmB7H5++YMGioeYbr8kLXkqsJWNyF1F8Eobi04dV7/p0jSz/+HuM84qlWCAF4KgXH5siefFWGN4x + WMNX7I+nxPrHJehUAJp4elYzFgs5mlPmbvOndK4ALA7QbwTAWzBvvxzJFHgfqi95xjlLzjEGEEc5 + ogDI0mHODrCumjkUL0c7PeihM2pcQDljTN+tCE+FcBGv9VOKL9lTHtd46N2x0xNvPT7NtQTushKF + OhFsLDrx7XrzXZx9wSVuYtwtRE/HyF7/iRu9drm3lVpF4DSefNzTBsMXnSsAoEfoFd66UKC3i82r + JiBUH6CAA4c4aA5o/MA5BbzH9dYmwwDj5Qhe83r6BcGaFhWEbOxr8dYnU0+ndhElY33XGa/5cKUD + H4yu+S2Rp7Kure8ypwVQDPDSkR06s8GOeZvNx+M+MzEWN3x0sHEsgPWAaLNJuA3nOcIGVgzFfjbd + PfhP6VwBMDrGX+yJk0ICJVlifRrXsSLJCkJjBJ9WgZhTeYDmkN58waviC5oAFEQ9ItP8fr0Wjy94 + CnrjZJrf+Y3Tv89nq6RYC5uxeTGBmw/WzKH4dntsWNfv8zsvmwhfY30x9fDnB6SS3ymB3zosjnWf + JLbrfXro2imOyOGbzXz9S7y1cHw5VwAcmyQ+j3MU56zEMqiqrEl+BeDWwEGF4P4EpMaJPgYli0dT + QJoxe/j24MBlDZkvKF2bS1dzrtOxyzSfPvw7JWNu521svWau+b1wzaH49r7iWAzHl3TU828vkmTE + TKzFVBLZJFOzISXZCevzBjvfCeBtpPjGLxd0zdrTF8AR/L0UA6YAGCyBwJljhGH3crySjwDFo5mz + 7lbhYTLH6FVQbBUE/V4MBTH7XZMt+Md4Lj10WxMwlC3jbBhfRK2HB49xPb14ug77mpiXeOGKR0+G + rJZu/lxE4dWTq4mho98zVX7T4daqyYvk2/XdahUGHomnpxN7cF//LGfHcO4EIDCMd+2gOewagBQy + DhgjnLPGEMOcMOdWALjbgFtHQbBu7ZTM7wFit0CQ1dK/89GDz/peqM1bi9LnGj9K1jjs1pLb+cSh + eCSrR2TRKf+OdR8v5nlhJ7vi4mRlQzE7QcWv6/IiyT3p+5jYBuvID4deftjUBteFBXDj664jmAHk + DyyvOxJocxJNMWPuM76ybB04xCjACD+nzJErgIKkJVNfMJbw9hJvfK5R/T6OV5++/Nn5dxnjneLT + p6exXqHld3Kw1czxl12k38drcl7oIENfCQyr2DlRreMTU2sKg27NSawYzOOnB794i7t5Dc36hbeA + cwWAcRz0T7Ved5xCTlOUYXPuN542A8coHkDx4XGtooHD51pFVySukbXdhvmu9a0ba6h+H6cvzOE9 + 5cEXr7V97PoiYg8f3fBkY583Dlc20r338ZkTG03cNGMkbu7/eIpdRaBHfdBGJj7zxdc4mlhc+GOR + cwVwTNyKMIUaApjDWvPGKs5bRXNAFJScMA8MeRVOv9tCATzVz5a5PYh04mereX2NDHJdwlsLV3YO + nIfXeOrT4XrHYB4GDZUktm6X6NwpPPyyo/UovMZi2K2yGLRu10t+cukQ62n+9dIVb3LZnv6efKA/ + uukZABNDOUhBzXxrevMePvywQ7V63ylAji5k7D7mQyH3LMn3aSJZICuagFY4gdNzsvVwtB6e+grA + Ol5Ep/WL6KJ5cuaTJ1fg6OeTVnys4z29NpeOfYwfhdk4PnHztS+fPQCK104SLcZOXvd+hcAufk0B + 0GueTgWkNzc+XHgCnCuAwDh+TmkHbBxonxeoxj3pgQFA8pGEe3D0qZZ5iQGeUwJcotJLxnxN0KOc + Ig9LupLpmuxFlDyduy/4u9ZH5ukU4L0AzPErGXr3Rt713u+8xmE1tol86OPDH3ZOY+W264F6/k2g + 1cOTPbFovAzOS/6wMePbK4ABcm2qb/2xpCf7iIEI2Byzsz2M7Aly3LvXk/E9t+LAr6olHCkSAF1b + AxIZ04+q7GyZy3bJN2es4dO6Jp9sOvEjPKj5+MyRQ+kzTmfzrhXyKW960ot/H7tG+MiKlfjQp7dh + OgXotxnx2fmSP/9O4HrrJ+5kxE/PhniO3vUlEBuuxVWhTH83vlM6dwJgHqPXGJU4Hy4ggpQhvSbh + gAHgNpAhQMxJNoc0+ujQOMwxOpwePRsISDw5k63sWkcF1LWxZow/2cU4L/SiMK+LeUmXazL4ataS + a4fvc45Y/tbEwZhMGMJk/iKyjsTKzheTThg66ER02ojedT0wP7dz9CuG/MUTrzHZHYMx3tF/Nxun + dFMBDIgvz4c3dwHuAU+lIUYkvUDozTHgx5B6MuYkXQG41shxztqxGlfi3ToEAE9E755E467JRuZc + a4qInp4rSmR98vqIHYTHfDzmrPGn1jqckhWPeXP5kD3y5vXwJb/bNDYPswR3gu481umWB4n3oU87 + P9nsZwM2ONLj2q13vqK/W05O6aYCmOR9GSjNKSC4KdcLCgOIcWOJ9Vk0sD75c4xxCG8/+8aP1xwn + 7H47CR895unfiRPWc8Y6G3jNCxx87KtuJ01F4do82V2vMRxa8/SF7dQ+PdbY1bMhkLCTY4MePoiZ + OWNzsBgj1xod5uB3Db8GZ7z57DsZt1dHv+TbMPTTi58uDZ32a3JeFKxnisnJXTbmKZ0rAMrH+NVx + 8D7OeIJvdwPLKId3ymEB8gte3xdIbkAFCwigEfkSd7R3PTAFCJ+xQABNHzySQQ9b1uOzhreACwY7 + 5kvGYj6+8AMVQLo0eMx1bUwvKjkweDqXHHzp4pNxQbaGt3U6jIsfWxF/2CFjXTPnqOe7uMqDa5jo + 4Wt85Myn07XmWvz9QGeeKy7nQ3b15wqAkqnsJzHayZKJKAqc6z2o5gEyF3hgOSRQ+sDhM+aIAEqQ + uebpRrtO3yfAYcfh57hgO0VyiE528Nqh5s1F9O2NP9a1bMfvunXy7PBDMvlHj41hZ7qOGpOHFQan + H1zmULrxslFcKx66+WidDsn3HCaefd6Chxy+XUf+WI9gEJM+fMvH1vXnCoCjY/hLqligBdMYGAQY + JzKi13KesyVFgPym3f0HT1VOL+DxJWuuQLFFBg/wThBFU6DowCsIsOF5eP7tvD6PgIPeAsRx+lCB + am2ft3567a2X5JNjk21BZYNtGO0ya641vDaQ30TgRzsGNlx3krkmr4eLX5LvO5cHjv9ugnm5IBd2 + 412vMT3ZgxsO/cRvlm9sisU0L+cKQGXPff9RVQ+cglAExojhyBznc4ZyQc+IscqVHH/x6q0Nfo1O + 84LVsZbe9NCLV/LtJPdJRSUwHJIABOP8H7fO5n+vst43C4BCkTinDDlYCxq9aMcd5uZ3XvJ903aM + zzqRPB/13FMc+AwXX/O5gqebnd0W3a7bJOIuJuYl3wc+TgBz4YWNTAWjZ7/NgQ8O8+IsfmjWv+z6 + lM4VACAD/NHeagg0osxYIFUnysgOxpr5qh6/U6AEdJTShafAXZQUc+zaXX4QYcx5D0IC4loR+eDE + X+Z60DGnoNjDJ6BkUPLGbJcYmEsKm9nFJ5kaffnLBx/Q+Ps/+EsQPfyy6/1+z33XjsVDFg662drt + 0c9HaxIPt7d8Hvzo5g8eeOnDRx97dGp8Q8VzXcwLfrrhmuQ/qYBP6VwBUD5/nPl53/KpFsYRAJQD + zrjeNSqwORUIwMxxiiMqkZ6qvcCziXImuTU5L/RxwjsL+kqsgPi1jD+9shsLCh6NHJv65uiElz7B + gcHO2ROEv4Car4jogtWaHS6oyTpt4JF0BaA5es2RoYOt7Bunq11JlyZeCsB3/PRaV3R8lEDXTjY8 + 8uNEJBNmNtLNT76L6dy2nqTnlM4VgMUJzGcIAi1hqB3F6QLKoHVK8VvDR66AMgycpHNaa40eBKzm + 2joZgSt4ero1O4/NjkQnANvk0yVA7MEFo0anVmAW8/HFfJjCYkng2LLOL9d80T+NaEAAACAASURB + VBSP5wB/yq4w3OrogM+aOdjMSSq9xZJu8xUavNmxJgZs0cEHxcRHevNFMYiHAqHLpojoqsFIPxr5 + L9J7SjcVwNzXPjlK/QvUlzx9C6YAaIJBCaPASA6gQDJWIjiRjGRxAJ8euPjoAFBQ8aN4A74Xg6C5 + xsuGa3g8GwgCfOTYEjB2rLNTY4M8m3aQBCG8dCpgLTzkJIJ//M6GHe5U4rvE00WH9QrQTuV/yWaH + vnpYJdI623zjP318wFvxW8sfNrKlGPjIH7jxhRdmuvEPvi+QOaWbCmAU/N4EZf1dAEHHrCPcgxAD + u4McFQANYAYyiI9TggageUlRAMh6a/hccxZ4zRiRI6+VeIEhCw/HPSz5oEQh4LGG6MyOnly7Q6CM + 2eKHBh8ibw0P+5JoM3gwk2jYHp53Hf7Khoznj2zBKQ4Kq6TmS/P6klsM9OYVheIST/bphQG/dfrp + RdZc06/YYITbrUjyzYdr4vRp8qd0UwGM8EMMU0hARVIIRLvFejvVUdf9DhgELMJnDARdrvWSZK6e + Q4BzWkIElZx5PMYa/a713hf7gETvfqiXKHoLKp2uUT35AkE/XXTzT8OnxaPXmpMg14rPuwD/IERH + Ml1asvQZw6Olg73swAMnXgnDbx2/OeQ6na7JwmEekVfAnRriZx0WdMT18V3HWpiXmwpgBN9HgQQX + kBQDRQmDwOKpmUN4BFYPIB3WcgyYdgfnOYNP4gWSPtfN00mmIqGn41vSVb0HIckvodliGw7280kf + HrrZgQcW8q6NNbJs0xOGcMGQ3f5FsIoDPww11+Toay575rRs8F1szcHjmm3NBoI9DOE3T4Z9cuVG + b43s+P1+/Kd0UwHMfe0TI/jBOereQqHkA8cw0IhigbRunMPWBabgkeFAwM2ngx7AED304UMctFYi + XaPWnU52n50vSBVUMniNC5Qxu67xwqyFD36Bc63XUMnS08EXY0SX4stfp5Gn9E4xRzD+ZJJLZzrY + UrzRbhtm8s0VB3Nhh8uYP9bJGMMxcV3/b2Nzk9d/lY29v/FZ5nFWMsbAZ+e++m8LLuMM2pl2qeNe + sgRN347F51h0j9TvxzGn6ShZOWIeeHoBNrbGKc1YqwDZcJ9/YD4d8/mCIiCDNxv6iD0tPeaNBQRG + O9jDI8zm0oNv12dtX+cLTHSlh65wmg9H/a6b/p2KszmyCL8YKrB6dm2CGnvhlPSeY+RFm7X1LDfx + /eD8Pwb/S3E+pZsKAMO8z/2NSbT/L916fwEUo4LNoDEDigVQjROACmbv1SUMPzlg8dGl54x5wBXR + sfDWGpn0soWXzitXrpzNv/7tH0FYhaBo6LOuhYMPrlGY9eayb81Yg40ddl07JQS+dckvuXwyz1ax + 4Le3ZHqy5m0UNrOTbXEiS6dxfTr1iB6x1Jx46aWzRidbenN8gFNMzSlOtn7lV37lb82HZb+5FJ+8 + XFgAeObB7xOj7N+ioCRUWeloXlB2wO0ofAUObwE1X8VKIr0cYEsz5kDBsrt8Oin5/v0dgaa3oJGJ + SmLFV5HSaVzPPh34zRnTIyG73hKEHx8/s2eNPIKX355LFKs1fORg2Yme3Ya1rhvzURHWrMMojuQ1 + tjW2NXP5yLbrX/iFX/jg+973vr8dzh2H8U3PADGMwU/ZmT716pikFBBUwIwZD0yAcohMDfgCSbfE + lwg68NGLBFrgHPn+ASX/eEVv89jAR6bgkkXWOM6+JNgF5gRGjz8fCpprgbZGTzw7HuOSTz/7FYcx + 3/AoWrcmvOJGr/f1ng+ss4mfjho9uz6yCH9xJKdFfEGwGndtjl7HPZ/nXYr/8YXpC+l8aR5ZxolX + zU77qXnv/0JVzQkgAdMXrAIJaPP7Wo6WeMl2n3I82vmKwFqO0VOSHKke8uZfq1m73mcRglvBgIq/ + JhCoYOitldQCdBHm/EqeHD5NMMka4zPmV7pLqDnY8MEpHmJHRqHzeedlyzw58zs+ssVTH47iqA+D + eFtHeris4VEAg+HNY/tX5/pTw3KjgpbEyQngqP2RH/mRt4zTH5jA+SfhlkIgOOU6B/WA5xRH8Zjn + TE4BFAEl6RpwgGr0ksWrd8/z8aqHvStz3+/Ilww68KWXPQ2xW4Lq6dMESs8u+/DRG1Y4EB54kIRm + R89+eNmEJZ5krEtsOH2ARk4B+Ecww05Ow6eRg4Ue2CKy5rR80cMOg/ndB/zm5QzP3JL+tfkh6ftG + 3yNj54Gf/Mmf/HS69eduAQI+x+yPDrD7KCiJAaA45RKOGOeUADtuGSXb2hrMC13ASUDOkzWHyNDp + 2LTb/fTZp3tsR2xzPlx6c1HBdb3bwwMbWTwFMpzJk7EOE4ySAk96rSP6jPnCB/pKkHlzeBSCtX7R + 40MzuhBZdtgoBnSIQXbipQtWvTk6ybCjeJB543DjkRPExrQXjo6/MJf/25o8vpwrAO9rR+mfA4Qx + vcZ4wWLIGqDxGPekio8MMADmREHlXA4WKPzAOvZ9rHtldr2eLg4hDp1Sc/SFS6+Zg88tx/1QEPIh + exWFawSzWxN5PuFPhr746IIrvq7hwWMeSbIisCmcaK79tsFzVTyLcV7Egh5xqiDweKh0MsNDXpyd + YE4UvpFDeljFEdYw8WnL15sX8/ZyLqoD1P8M4lso4gijNXOUagWcMYAEUvLwMFbgOcIJ4ASsomAf + T0ElR4eHPIkXLEHDDwe+Ak4fWTqtwWOslQA9PoGS0L60CTs5OvBoXVtnBx5Ht51r7F6OB8UTXzrY + h3f3mYxreOiL14dEkrfbNqaDfs0Yxj7tlIduLWxbYy9eMTInJ3QhfHgq6sFy+J3/Wj28nCuAqbTX + TuBH/hAYSdEo5UyJYNSctb4gqQDwaEjFAoU/sK2xYU1fAuhwCnEWeEGQAPKIbPx68+bIZ6sAlBDf + Y3gKt/NgKLDh0mffWgkrkflFr7n8gIcsmQrPWjbCSZ6cW4mdDA+59MFP3hxyjT+c/MerhxMpHq1n + KfxIPBQJfg2/BpP4jI6b/pcy5wpgEvpKQALEqCYh5lBOS76dIWF4rOs5oNnB5PADkFMcE5z00Ek2 + sBKPlyy+Eo0PkUf48TY2n27ymu/rfVPXlzVwkYtckxE4dhA5eCUKwWZNQI35hQSULvLpMc+vCtM1 + mRLiJJI0OjoBwk3mVB9dGrKu0Sfuno8UF118tMuzhc9tr/ixT8/oX//Dr6Xw+HKuAIbx5SWdcMZz + 0FzJVtGKoOLIWHKBJ7sXwG7cGD8eTVA9KNEdaPN0pTdeOgXMmiDCAY9ru8A/VunrWoGmV2KTTRf7 + ydPRtd61AnN6CCxbjmMBzw4+uk4Jr1bi2faVuQKAB8GCR7JQeuqby3d48Fs3hsnt122KLvP81ow1 + uls7bsbDU+GyeHg5VwCj9EUUYw4AJRyg0BqnBEGS9IEquPqdgKXj1FHzGr2c5Ax9bHFCgtnDg/TZ + suYaFjyILNt2rq9oNZRdhVtgwmqdHva15sm0wxWB3QWT3+l5PvGsQpc1fXL0uW4TwQurXe/Bz9hP + vdj0ljB+143X4PgSttZhNGaPHf770MmYrU4C4sXFGP9R9pBYk0e6sAA4kBHBYIAChcGoI0jACypQ + WonBvwclBzJqHZmnlx4Pf36LKKj0OMLYYQ+/OUnBTzdcx6pea/gE9ed//ufXj1jw0gsnPtd0kxUc + ehRb/gmgOWRds9aDJFm7V2/NBqAfDz18ocNcGwhmMj4FVECObbdMMr5CbmMVD7aNw2GsFSfriDye + +JxMMOEVA5iLv3l2XA/duP+5GjpXAKPgeQWCMmPGKOGYo8+DjMRkkBLKgUwGMLKuNdR4vzaPjy6V + bHfYbRzABzhdkiuogl+wySJBhsffBDz00EOrN0dGT96DKjveOikEOiom83g0OFyT5ZMixEtPX/VK + KGxXrlxZdpMPqzhZl3C8mrG4eSfAj4qEPyXz4M3hFZadim1zxdu8MQz0ux3Q5xQUQ5gQPHiG/7zi + WTstAP+3ievVXOIBFuR2JICMa1Fj8sg1w6ctfj09gNFrR+EtQNY4IYAVnsTklPXw+Xd03/ve9677 + vjl6JI9utxZfHTu+kTXYBF8RsCFBKFkJ967h4fnZl3X8/KLTT77jc2LZffCTQfTDXLGZc8vo3QRb + eOmAjz9kTslc83yNinPrcmMuXxWBsQdfbzfZwsNesUuX/lwBjJP3clRLSNA1FaanCOFBOzigzAf8 + K/XkrJVECS65wDu2ratmARNMTgq2dc265iHt3e9+97r/0cNxhNe1f7Vc8iUANjYFwlix0SFI6bTm + WlI9kLpWBPkLz8NTGObhuzInAVvwS7yiImdMvwIUOz7xQWMTjtoCfHyxfkr4m88Hc+yHP102kjE8 + cig+rtHouEn5uQIYZZfjoZgCwAVDS1EA8eDXjBGe0/l0xpt8yWSnnR8PPeYkUQLsPny+IOK4HSzI + P/MzP3P9LZtCgVeQBOBd73rX2ZVJEB1k2MuHgofXfIUNO199/Yzm69SV1OStw+OWQxdM5sh3oriN + 4YfVPZ9+RYU/v8yRNV/8ihO7dGr0tN48zOasKUR8iG56d3/ESCMzMbvpa8FzBUBHIHJKIAVQMqwx + iAJIcQDMAxFZQztgOjQyBSRdbEisoKCqWKDcg7UC56na0W9OQtInCXb8gw8+uI5+tsnYieyxnQ3X + O35JbK4iwO8vj3ymwPd46FAEYsO2E0ZCnBCK0LWTgX6Y+IQXFn7lB3slmc/ssUPGvJYP7BQ7vK6t + w4SHrhpbTgOnj/hQPTy3PgGG6TKlKGOCz0DXgDdm3LhGDkAECLmcyBFAI3LmcyrdApDTnUB2lXua + oFtzIvj7O3Y4q6fLu4m3v/3t64FS0OE3TyeeHR/75vSRdfrNwf/AfEFmLGkKzimTDJ2OWMUi8fgE + XJN81/nLN7phIhfhCZN563tLPps7f3JhxlPjd6cqmWn+6ZinPQH8b0AWcAApTqGxZt2coGqC5Npa + Mvr4chgvZ3IoO67tJhXfmkA0FjiOCCg+SXefx+9eq6cLj+PW/03UuwlJYBs2ZJxN18Z7b8xmPlvn + m8T7d3k8yPkzNH8noQjo45Pj1ftv1zCybefB08lENz9goTc7+jDRxTYfNfr05hG+fFkTxznz5OjC + G0/82T3avrH7jkrO3QJG0cqi7ji8DhZ/c4ENfGuuI0mUHD1+AI3p2EGS4Wy82c6Gne/4FlTkD0UV + gB1nDb8daL0/YKGTvLkKJL1hdo12zM2VoE4PJ4yTRUEIqD8MVXyu2fEpn2S59SgUcq7p4/Mx+MvW + nhDrrcFCFyKrkS2x4d7x4nW9405HeuM/8t04eggPnSuAuf7yrtCYQiAAZ6jgMGAuA4Ewbwy84JNP + R+B2uV0+3fHT1U6wEyXade9z8UmCebyKwrWCcetAJZHubBlH4XbdGB89qHsofxSUXQ4DW2yaJ4ff + Qx+7rfMzn4tLvrNBTl/Lf/L0FodTvl0WxuTw7X5awxvN+Ibjx8kbT2wzMcLouhCFGkrRfp0znLMu + CAKut2YeJbOP02cOvwSj/SRIr15AtIJjhyC6jR3LksKuOTj4gtja7a3JC152PjrooiOdxpJiDSY9 + vPE69vHAYw7xDW+6zJ0WhbmIXA2ePZbFVk8fvVH2XMNA1vrez/ytT4BheCrjeop2xa3tihlkBKDa + ft26uSidzbkWlP3Yy0G2BBbhIeMab8ExZ42M0wBuxWA34rOerTBc1O88MCk2CVac1ozd880XfFjY + Kfnu+/hgowMm2GBSwKgCsYZH2ym8xbnr+OlG5MqRfp8nE0bjI//h7dW6OrycuwWMkoUwwAweBVdP + EUOIsa4BYSxAeNKBJ2AB0eOt0eep3r02XfRlyzos5LR2ABv4zWWPjCbYBTo7+O6E4qdbQWmSS392 + C7I5zyR8MCarhxUvPGQVjyKCLXww4a+5Tm920oGnteT23jgSM7xk0eC59buAcXQxAK4BraJVeLuA + skBlKEc51+7Qc5AOoJPJSdfk0ic4qGMu4PjxgUYnfdY08+kjaxdyumLZbbOD8F9E+3y8Oz978ejZ + Nldxw+fdgHlF4NlBwYif3kOj25Tke4jlp/n8Zysb9GvWPWDyCx+/KqiwkdllG+NvDSayg/FpbwFX + GQ4UQWNzUcFtTQBKdgbtZsHon0opudbpI0unHgmSZAmaYivJ1vAIrmBoHbHWOnbpRPSHnwysuy/h + W8zHl3xLh+lk0lW/85jLHz1s3h14l8KP/mwt/BIvDpoC4Meuj116NLrxKRi/IbD5PNhKvk2F+IZX + 7NmgS588HnEslscT+9YnwCi4XiEUEtZTGliGEWMaxa0Leh/Q+EEG8AADiUfDQxcn0yEYHYfWssmW + +XYUeQVCToDc7+mJCgp5PPj16cQX/mRO+2T16TZG9DXXmH5z/OptqWvzYpO+nh3gLq47Fnx05gM+ + n3b6oEn8+O2LnnjY0FyHRU8Pu/TQIX7GR1vX85vfp88A6yEhhwgDDjBqnnNdm6McD7A+qdN8L03W + Gp49GAXUWlVt9+DjZB+r9hlAjvnYV4GRE5D07Fjwhs+4gouHDDtdG6dHr5HXyFtPn3H6zYWfb+yI + l7eK+DrmjcXGCYHw0aHos5Ne89kk07oft5D/7u/+7vVZQzrpYxNmcsgaXK7T4RqNvlsXwChatwBK + AK1RxMhRyeoDCyRwJd8nZX0wwrDgoHTqa1Wo402yfebuZ1yOdnJ2OB12QEeowLLJvvmcW0aOL2HV + 8wGx6bo11zu1Vs9GOBuzxW66xCWyJvn+nsGfsmUHRk2iyImV5EuqmFUQ2dIjNjWE37+GhtfH4T7t + dCq6RcDDVnrgIMdmRYbH+vDdALw0n3wQNILrBAg8IQo7tuxWjUKktyMd9b4ssevtUoHBB0i66skZ + c5QTdjIy9g1c90466KdD8ncZNo8OLVuKJV78exAbLyN38EIuWT37YsGWHrHZmt7HwJLTz7TIVCTw + iglSDHyQID0+DWXTmH5ynin4ZYP4EEw+/KGsZ4Pk8MPGBpkeOMvdsRCf9m3guQIAlANA2o05nxG7 + UeLtel+UVHElJOeBrwHKSQ0o83a84Pnu3qdp5q0LNGfoVQR2jGcLuPCwY12gBCIb5pE5evYgrYXt + ZV+LV6+heuMKIP/I1iRD4v36CCbzcMXbHH30wC856Yc9ImueLF7+Ijacru95z3vWLeZ7vud7lo0K + gQxZ8ZIbp6oTx5xcDIZbnwBj4+oeTKC9fQGAEYlvx1LsKd8XJJ74VRzAkonIAp8za/LkhT47n01f + 5LgVCEwydCA2FaAjVqA4pyiN8erZQmTgOKUCfTq/X9O1UzL0N2bHuEYGftj5wv6O3zXefKFfjOIX + R/HFQ67mml7Efxjo0PPfLUGs3va2t62i47Nr+hSJzYL3mPglO9hvXQBj/MmAEOYspQCqVuBUuN0o + 6Xajb+esc0rDQwdAHMg5+i4iTuETPI0ddulB9GRbEQqcdRRPWM0Z54N+t+sa1TdOz1o8vuw68slS + xWUdbvpr5rQShd81vBp+a2IiUcZ0hxm/6/CkJ1l9+iXZtS+f8IuLnW8jevfgWQFWBaAXu8nb0xcA + ZYSAagyw5ulewhWF38y5ZpTyfvnixLC+O8OR5tbC0VFjdqx5jlBYwHJSbx4GgTMXHviMBUCv0ZO+ + ErMmTl7oQfrGXdOz036Nl16thMChmYNVUsShYjZPrkTTDaejWc9HxW2cL8Zk6I2fbjoluWQ6Adlz + EsiBE1JR0SeOZOSFLjLa+HPrzwEG6JMA5DgHkGqiGOU0Iwxy2G/l/eQZj6d4R1RJZxgYreDRw4ZG + n5PDwyOH3BY4BIfm+CNLn+aDFlXOMbzkzYdbz04+sHW7ROcuF8bkrWso+4IseXz3D1bD17eGJRVG + mMQLdpvJRiqmxZle+Omut8Y/ujxjiLeYiLFnLw/e9CgQcYLZumvkmrx+7N76IXCArluAhFBGscTY + 1ZRwRKMMML+K9bZHD5yqJOs9vVsEPsQh/EcQ1yvSmnl28Pt9n2tF48k3W7AIHqrKC645Mq4RGznM + rvaVqEB/pfXm6ZQQevVR+BQBDNZtDPN2eZgknA5+GKeHDN4w0m0u3HiNxfaB+WWSWNtsCt+7Abv+ + V3/1V9dpDBN71hQAG43ZZmf03foWMExP5ixHJNT9RNDbyQypcB/Y+KUMUD64ARQgweCIpJLjBFkN + KPM5mMN0Amt30CFQ1sjgFRS4yNLn2jqnkGvO4w2/8UVkPT7rrvfeeF9vzB78+JMJlzVzir+gG1cA + /IAbH31w06U1t0AcbadPLNzj/aNY3l72UTlbTgI/fRNvbw+dBBFbmrX+JgKesX3rAhjFT0qa5Nv1 + +hSpKg0gb9kc+SoTKICA5jxgfpDpfvXrv/7rqzrpEAwB05BAmBMciSXfL3rM0yVAydpRjj2VT5Yc + GcF0raW7vnn2YKyRa84Y3yldNBcPGdjYCadrPvsQqBPRrcztk642lLia9wzFF2QdNvrCLmH9uLWf + tYuFTVks2fNvJ5GXG7dQdlC28SsCeZlY3boAxomrBZqgneheAoBk2/WOIIY5DjQeers2pzgAMu/a + WxLgOQd8JGiCqQH4zne+cwWQTq1g6AVOlXvGeHh+l89R8jsJRDZOE2sNwaM1XoN5aV1fS0dreI35 + oPEPBjZtBIl/y1vesnyx+8z5XMO6QpBU/GI8/3Tb6o2jsJMVDxuNTjlgi21rSHzIOo2dEDZPX77B + LT5O705xvNNuXQCj9EmVg1nvCALCp05+E+faztuDCIxrgARFIZDlrPeodjengSl4e+DM0ZtMFU4P + RwqwICg+fHQpKLLWUWNBNNZfhNNaBWBckpNbyraXdJUc14iO5I0VvJ3mJ2N44bQuJq7FwxhJmmZO + rCP84stXusTeePeDv3jETKyc2IpCoTidPbM5XWw69vSKQQHOLfbWBTCgn3QfDjwQdr+eMsGSaD3w + ASt4ktbRXID8WRZQju+Cba0xWQCdMGxYs9ut08cOTHjsJkXgDysrAPIRGdd0wImMd+pan72dTxLi + ad51+ujXsiUhFSnfjfG3rtiNzSP6w4gve83ToZgUkoSm22kCrw3VBlIECA98eOhRAPgUmese5Gfu + 1gUwQb4q2Aw55h1DehUGGOAI8FOHyLRujdMaWU0yJY1sfHQBqEXWs0XGmoCQUQzZZS8byXdNB9Kb + Q6d2kgnLLoO/a/aM8bMZpZc8Hq1k4zFON9x8SZ4+a9lI595nb8dNRgt7NultTl/86JM/ZH424fl7 + 5syf+8x0ErQqxFO93aYAKGeoHUc54Dt4oDJqnrHkJK8CqgCsa7uO5ugim07gBY9+PHTAUwLwRhWA + 6zDiJUcfMq4Pa7ytdY2PfNQ6m/CwZ655cubhZa+dqkdhoNMYf7TrSKc+G/GS0+ig184Pr96apLt1 + 2MxI/J3icxLc+gQYg09i3L+Td3wIekb1AsCAI0exqPDdAUYDE/AdpHVExjx97RD6a8nQbwyLe5rj + DSUrSAIiGHvA4O6ajfSGdSk56smWHtFHVkIb7wGnLxljPD07tePZYVOfTdeoU21dHF/SV28aBkS/ + hnZ9xY4MXj37jn/PBzCJrTY5u1HNS9PJCTDOPuVv2AlTJKCUVcECb55RczmRk0ed1wMDaMADF0+9 + +QJ2kR5zeFSzbx69C/CMoqrhsaaxBZNxxDa8e8CslQTz1k8bHnroYye95tpxYuCaDXpg8S7Ffdm7 + IH2Bpz/Cy36xa01vDRnTS7+2X1snbx0Gzbpk96zUJ7eKF368cI/+W38SOAJXgWZUkKt+zjG0gyqI + 5nMKODxRTunpjE9AER3mrHe0W3NtDXjkqd/XzT4+9YB4dGZhSod+Dxi9fDnFgwcVOLYi467DGm+F + 4DqdeDQEk/fh6fVUnn3YUPrJi23y2VxM8wIjHnFnFx+96cZPZ71TsW9kycGiGeMrpnN9IzlHY+ee + AcbAYsDHOMP1nEEUAm/XAhcovOZRRy895ktMoHeHWyejiq3Rab45n3n7jF1l00UP3XoN6a3tjsNH + B53ZbIz3lKw1v/sDT/oFkw2EJ/zmHbcSgbdTTUzCmG7Y00k+bOlzTV+xJ29NT/aU2HV7tGnjTQeb + ZNkb2ZuEzxXACK2tyThFlBRATlPCMfOUUm6cY9aTySh5FJ95BIvgaNbY47C3P3hcw9FXzt5G0kUO + P9Kzib8xHQoJThReuvbE0ZOstWymx1pkjB+PYIfDOn7zKF+dVo54m8bDNFkxSo4+b2tRCTKmC9Fn + vmIOp7V49PTSU75cK4Ls4RHH2lzf+iFwBNdfBjFeYih3LbAFQs9BQBkBlBGEHwhzdLjGg+qTAzRw + 5iTZOw/PIHa73VTi96DQQ05vHrEn8d4Dezj1eYGAZxPmfCGTbfPxpIs+PueTefp98AIT/xRWMYoP + jybxTizF4nMUWPjFTglTJPuJxx5KF1546WveHCx484UOvpjXjLV49Xy0NrpvXQCjeB0RhDjHkEbY + NXLNAAABBFqw4yePrAuCefLkIjrNB1RgPOD5fNw7jHSbp5ujxoJPVpDZpdM1PR6C3C68DfLBEp7w + 4pW0+MmY0/LNGFlDZJEA+uWT08j9lh5r8fkYVpL56ncNenhh9X2IYvBuCR5z/On3D/wsQTsmYz4p + gHC4xsuHituchkeztmPLJ34M340EmBg6dwsgiGmM3wVAjQGUco4A3jVQZKvWAiphHtrw7TJ00X20 + 53IFDb/PsxWA+3c6BZPDZJwO5jVknn0YFYAA4/HRdZ/DwwUTvgJqTB+yRn73l36JRhLva1eJ3JMv + uORgZcsnd6757OTy8KoYPbx6B5N9cuKXvWVkXlyXMGNx40/8+WHeuN6Y3TYNfvE2l29sjE+3PgEo + GKEnpvl/jS9cgRQQBinXKC/gBXNf614sGPQKXMFPJx2aeTzZsIO8jXKNHOk+n3DbYcu6W4QjGaaC + RZdrAfdLGfx9Nm+eLMKH4CVr/uj7mvdClowT6f3vf/8qzHDjpRc+fvEVB/bplgAAIABJREFUFoXn + MxRJVxRuF4paAZMJpx4G8mzr020eLiQudJ8Wb35aUyD4yBd/43w1RmyM3G2dAD5lWR8yM5QCY0oF + RnUJDuPma4AC4dpaRVDwWwOIXi1ZujWBIsdGHzT5UkTAkWBKPl0KgWM1czD0UynHMh3ZiU8fFsGT + RDiQ3hqCw789+PB8+4jM42dDY99pRSbdEm+OTjx2Pp/EAA4+5jMZ1/rsG+NlW/HZZGJBL/t0xLNA + zYu5eOCji16UXv3I3boAGB6mLxUchlKU0wqAQYmwnnPG8TDGAWDwGePDoyE6CrQxO9lybTdJoA+m + JJHO+KzhlWi66bSWfn4Int8s2pHkrcGDL0pn13SS5Qe8drCTxC7ui5ds8EvQ6dsT41pTBLDj82Aq + kXRa07ORPPvHBC2MNo91WBQSPj1ZFAZjtot78bSeLeONnr4ABohbwHVAlGakAAkkA/HpkXm8AeCA + ALhPJ2MO4StYxpwUNDYE20OceyrH6ZMEPMb47Ah8Ass+OWvG+ATRvdsHMvhK6jJ+fMEb3hIfLke6 + Bzi7nL744MFLP3yIH2xr4Q2nZ4PGYoH6PIP9kpqOnnXose7amCweczXXNTzGiD35gRlW8+Izcrd+ + BiA4zKsAjuOlpAqjbE8mIIih2pqYlxKu32XSSxaoQLNhp2reMhVcNum267NnjsOKgDydSKJccx6P + QPt41kmiCMzRARPbGt3JCzKdThUfrCggumCjmxz9Gjlrxqi5MFo3BzfS98ziGYGunqHYPyZo8cKZ + XROuixudxmHOPv50xANLuo9+Pn0BjL0vJUiR5pqBGlAUmtdQ412G8eZzIn4yeI/AFvi+hZRcAbIm + IcY5LRkShaeqz0YFIVn4nRp+oKoAnAQCFCZ48k2B8s21ApSo+X/tXZ+ziztt6EQFWw8TIh8ZswET + HjbwOTlcF49dLvn6dO088KM9/saITrEgr49Xz79Zv71bAIFdmAGN4naZMZ4S6joeY42j5vCwXQHt + 4Iw1fILleC+Bu/6dL53Jcd6YDbL0sOX49izwm7/5m+vh1W0FwdVuxtecJCsu933v9yXNM08fTim4 + nm1gQHqJ1fMZwVL8XFu3xrdjIha/tWTwG6ePTI1P2Ssm2SBjrO368O8YjvxPXwCj5PHdCMCBElgB + AUwQ0c5rDiANaEEWsPhcC2pg9TmAhx0tHebikVy29qLC1zVe14LsJGFXY+9DH/rQSqIE9/xAb0FK + jwR7r+/3/QqAbvwKoA9yPNBFxWX3m94avopSzMQSJv2pzO6b2MHCdnjJ04uv5hovG5r40m0ewbdj + GbmnvwUMsCdSQpiSCOh9lwrcKS9+rQTgARi4vXDopN86/njw7TZzpoCRI2O+t6RkEP2S7PlB4h23 + PoeAxa3AvddfILsfO+rxsMueQPbOAb/Am2ODz3TXm0d6srCFOZ/MRdZgRtbZzK/mrGvssAkfvHDk + p3WyybMBA//z1wmmxbOM3rB76xOA0Ch9AgjkGjHMCfMqk9H6eDiUoxk3p0D2XUCH+QKQ43gkDHh6 + CjJd6S0ArpFkS8puw5wdKyi9jxYoH70KJh39ypkPrtlGHhj7czc6YbBeMl1LBn64yPMnfHQUh2TM + IfokSXHBpuGlH2WHvr0Va36yU9yyQxY/ezDRS5cWb+O5vq0CeJzRXWFGzBd8c8aMM7BTIAAAivN6 + fGQ0162TxeOe7QGswFY4p/phs9ZOYc91QYeJDevmjOl3fCuC5BWKazjoUHzpCh9eRQWTdWMYEVzm + 8dABQ2TNHCwKm4zTyDsT19pFcUku++ljI7/M0Y3SYUwWX/7iMRfN9Q2Ax8lz3wVwYISfoIAgg+aM + VaACMDZHuYaH0ShAHDDG21w6yZnHk3483hax44hut9KLn+xukyweT+iSZndVoNnpGj56BV0RWHev + d8Qi8nQ7MSTILi0BePOPrx4kvROwTn/Hs7VTohOx622l7wcUgkIqLvRrYl7yyIlHcWMrHOwYF4t4 + 6KMDHut86LSB4Sjz9AUwxp4ARFAYY2g3bs31rUC1XgAAQGRLCnAI8EhgBEiAPXTZbXBwsuCwS29F + qlg6Oehmm4xASDofCgYZvL51VDB4NRjyS1/Dzx487snmFRw8cFpXhPlEF4KPHNt8YtPuJ6MYKrj8 + zp9dD1sIthp7Thx26IcDkcfDnnW+8w9uRY336OuNYC/JuX0c+9VROIJPCFwgKZaI/VM54IDFJwCM + IjL4C4A5hvHi44CGB6iA1wNcstnwNSt564gsImtsnl4fucKowcSeNTzxmqOr3YcPDnbwbkFa13hh + 0SSObmO++lxBIhUWvekOqz6cEmD3O934J0a7LbxhZAMe2CSzNb3GH/PsIbpgQnxBeOJzzX5zc3nr + dwGUDNjHVTkQgCEKGOW8ucYFHSjz5LUCRzawgXItcHjilQCN8+YclaqYXkcuuyramrFgkKfT2Jxg + 6DsCzfNBHxnTqVUA1uiBHwakNxcetpE51Dod/MkGHXSTUyCS7ithu7+djycd5Ogio5DF05gPWv7x + GwaydPNTz7Y1fHq6dizGNetT/Lc+ASiYinmUcUcegxQApTGIh+N4KgAAJERirZPTA7nLcsIOtCZh + GlnXCD9ZO07gjAXG7QCRNbfLkA2beXg5i8zTaV6Pt3F+4dt14tFaN65g04XfWDzSmxx9/LLr+eAL + K8kvgdYjWGCVUM8j4kknn83RifTiq2fPWj6HnR48ro3r+aHB9LQFwNg4+wjwhKpwoIAteABUpQWB + LHA18wDrA2MNASMgnKDTOl7A9ZpkO778dMq1J3YkGfSQRXC2W+jKYTJ4NPx0W4vY3K+NySDj/Ahf + eM1bzxaZrq3xDW5vOz1QdltLd/br6WkzibemAMSNXnbDI+7ms80eflRM9QpBE0PEV0U47dYnAOYR + nGeWw/+kATAGAwNI1wwXXL0AW6s4GNVcWwNIEPT4gM8Req3hM6fhcxJ4Wkdk7BAOIvJ40pMua3QJ + nL4iwIsHpniss11zjegkF5Z04COvT5dr2MzBZsdLvk0Eg7nsLOXzkp1slXh69jG79Or7YKi5dJIx + JqcXQ5j0CsCca+PZPE9fAAP6ixKfMiA567qABEqvmQeMnB9uCCBAgk6uqjSOn17X2kVkPuC+l6ff + H1zkqLV0qW7Xis0cWbbZha2E6gUGz06ua2SN2SMbCTQbdCK2rOOnkz3PLrDqBdy89Z26TpZeLQoH + rE4C/oprBcAu2v3HS5+WvD5b+mMuDtWfsenPvQswP1XyKIUUcEBjjBJzyDgHWiMDbA4ZO64FAo8G + hJ5scvp07uCzxb5dhU8g/MBDcugKJzwCU5L05NimB591PT3pPrVLT74VUH1+sEs2zAv4vCgKp6Zd + 74HPzi9up7boj4wrNGN6dzkxhNvJZwz/Lk+P69O5HXs89A49/QkwznwREE4xGjBKjSkSYOuuzXNS + b14PaIQvx8hKnIbSbV0TDISPzoLtWoAVgoclD4UFg352w4RX0OBwMuCzZo4+NtHeZ2stzMvpNWy9 + FVSEdPMBH3sKxLr7vWuYFAHiU366pqvr1sicNnzs9H0AXnP4wkePBov5dJtD5cE1memfvgAG+KMM + RxzhmKOdIcoE1O5SIKi5o5HrRUBPQQLQNVDmEMDaXhBrYV5KMN3k8PisHvluXxFYE2zJ1buWIGPF + 4ii2Bm/6yIfLmAw8WnjCV1DNe0vXNRv0iYHEs2fd7tfjZ5Pu9LOJ8FpHdOxjc67Zrzhca6d64hUX + BUivMYx4EQwoGzP/9LeAUbJ+8UBJhnPcnDHHMwJsAbWmAWL34TPGA4xxvICZ18zv+rJjTZAQLHT6 + KFdw6HFfTC8ZYwXrKHZiuO4tlUJAdEbZPL02L6Ds0ce2nl14NH7aGOwogmzmi/WIPjqynV1z/NDo + rFjjg4F+/Iouv62zA5PkF2s28dKHwnrEcTW7x+vV3fQMMErW/2ZyZ6I45QxrOcQIQK7NA6QBp2UU + eA0VTECTpR/lfI7QX+CtC7Zv7ATHSeCjYDKSjc8u9F0+DH2c7B7a0U0H3bWu9XwIo+KlU5LZ5Bub + bOUzW5qTBg8iv+s2VyxKtDlUAdCpkdXw0cEeWb4oZD44deGyZh4+DV4UPjxwVgxja/3SazFtLzcV + wDj6WImhhEJgCkBBkDBreI31AFWNgJvnULz4Oe26ILkGNFv0p49OfHotsiv89p++fu9HB9vee1dg + guUeqofjlNIJg4ayVc9vY+vxm9Pg2N/rJwMzH2rw4IcBZn0JKg56NrrGT96c5LLVh0UKwZp4W9Nr + 5UMs0mPuOL69AhjFq5QIUpQCgDgiQQWEI3iAwRdQPT6GHWucIN+63SJZ5gUCH3nNHH309oyhx1Px + sYvPTjfft4fWOzI7Tu2cPSC7LeMo+/rmK0o8MNHPrvWKPT/YqAD0rvmMSva+vhbmRUzpgLd1slFY + rLFNJxxIX06yBT8Sa40MGj23VwAj8BhnKdQzbMxhYwYkwBg4awUFIHN7MK2Z56TkODLtGuDI0oPI + GAuW1i6xViKs02dNY4su2ATQdY1cGI0LzN6HO9ut7T7YbZ412OEDneKSP3Tv+E5tulbAZDQ2wl6M + 6WCzWMKlcMmievN4xA7/jp9ea+nEI94VyfDeXgEMyPWTMIJaYFUaI5wBKFB4UIE3r4IDF2C73hOy + +6UiwI+Xfjq7R5MzzxYehEdzL8+OebyCylGN3QrIGrJOXzrX5PGFjdOW/vA5XRD87rX4FZwAI8XB + bnjJpZPvxsVD0SAYxY0ePV1wui5u8OIja8x/vfUKgK5sWUuHdfmCFx42Rm793J/MTjfdGId5VQpl + AJeYCgAQxCDl5gt2YAMlANbbLXaRIpAsZNcibzEll8N0sY0KnmtjtvXs0t3DT+vWFFMJSRc5MkiP + byd8rbem52NBZ08gNWP88NOtiRP83afDgod+yfVOAeYKiK6KwxiJD5k2Wj7HR5dG/z4HD1ySL94V + F17xmfaE8SndVACj5AnKMQPFKdeUmMuIxOYcpQBYx4vHOjCS3a7v3o+3t2f4+2lWtshynE204yhQ + nMdHPttkkDkN4WuMT0PNrYvjdXP1xYAffEL6MFRsfivhd4bedRj3mQk+iRAnOPzls88n6Hftiy4/ + TkF85Y9NYh1O104YdsyFi950FKNwkYEbKV7X+rF3eyfAyD0JXFWtoinYk8OAOcbj1QMNiHlBc9Sr + esQx15zZf8blKd4PPxy15DinZ48NAdQKijk2NPbM06kJNApHgcBDrjXrO1lPv/nGen4IIhkxUbh7 + cbKhgK9cubJ8wMdWWIztarr8O8JOCn9xRK+iER/rNgde+ozpEQvXioDNYlKsrcNW8sUJPz348+N4 + 6zl8NLk7PuObToCZuzbCT4yx+xjWkAADtSekecYYtQ4MUJzSHHk+vAHMzgBGMQiaX/K89a1vXT/6 + oIM8O8YCSKfv1J0gTpISUWCs4+OowCpWOvAVJMVkDk8BgcWchszXNx8PvOwhtyk2rUkg3WTxwGgd + 8RkuuvjCb/zk/Jm7NbsfTn/5jCpoPNk0h9igR18R4LNOryYvCD4xRNZdWx+6vROAkQmeL4RGz437 + KcM5njGgzBdIAeGUCpb8dr11epFjUjIVln/kmA78dDs+rUveEcd6n+/aek7r6RRI8sZ4jDXzrgVA + gyu+ZHdMcJkPY9d84SteseArPfTRrzDISL4Pp5xikp2e5J0aZNjQ8CkEb2P5ywac4oKPPXwKSQLZ + yifXMFhnn6wEG8NoI9BZ4uk94nmc3lO66QTANMK+D3hZyWWwAjBGgBZcxo2BKfF6iQUOGPIFzr+o + rfKtBUpB4HNtni5Oc0YAOWcu2/isk9E4aQ4OGI3tpMau8SFjjUxyApoeOCWvnWie/da7lhh68MNm + nJ/mjOk35h99ZCoCMn484uTquQFvJK6Kgozm2rqThg6+asbsiAc79OWbPBxx314BUDbtixQYE2Zc + ZXGQQb0AWXMNFN6SD3RPu5yRwCrUX+Y4/iVZgOi1I+x++gQppzgKB74SSV/OwcXpCkFA4ULhLjEF + FvYaPahr+sjxBYYKND681tlDdMNrjl2/BYCZv3SWcHx4kqGfT2zorSkwxY5sHGsIbno8K9Djmi1y + 9MBMVi/5bFtjn97iN+P1J39L6fZy0wnAwLT1wTYQBVsCjFUXY3tgJVeweto3pgc/p9wKVPgD8789 + ESD8+ipfzwly1gLPDj2ILg5bC1OB43T4YMaHBEKwXKfXOD2L6fhCJ30aYoePCI4wwalo6WATPmPY + fVvpAVdBw2HdRugoFws4xMm6ePjFkwQjCaQbBpsJ0U83/no62IALHkRO63r3E47ReXtvAwlOML5Y + 8Akb1xgrAXiBF2SAOWr3A4ofINUs+X7dSxeAdpCk90AkuGQQmT2JbCFz+CqIdNFDHz69Bs+ug5yg + Cax52CI+dE13+skg68b041NUMLYOB3uIbn6IieKRUPGASV+hwuzop1tsvDVE+FyzY40Mgp1urdjj + 6bQJk56PZJPBD+PM394JwLFhfiRFrjkEZEcMpcgaQ8AYa0AKCF7B5JS3eRwzpxA6pgSCboA1Nunm + CND0uk6vfk+SNTJshAGeeOCgC5nDzw7d4dWbj2cN5qX1dEg63AU9PysAOvA6qt3O+Mo3fjsB8SE4 + Nb7jpc8tEa9rck4Kydfg4GOYuw2Y4xvfKyxzJR8fnTDBOnF5jK5TuukWQME48wiHCBAGRGX7PUHB + tpOtcwQxyNj99x/+X8CHwB8eijgFnGPLvLEgAOjUENznPc9TtkQqgsMxjAcO/OFh88a4RB3uzXQL + BqJj/rGTYfDFyOHeSR//YNVr9MGtR3h26sSiVws/HjhQeugQJ6cePSWdDjzh7lo8nATkvCVGPith + R5w1MvApYDrZVzRiqtGl4VP8Ysq/TqKwzfrtnwCj6xGgtPnfCI3iG0fgC15wMCxpCKCCAoA2YqsH + WIEASlcPOQdQ7puHtz8C9fznH45yycaLh7yxvnm6JdevmxTk1avuwZ4TBsfdhwIQDPyXLx//Euh4 + dNL52GOHdxjzB1ATKA+yh3cCfBB4fpFH+nb+oUgPP8oIHx5jrbFk2TD08deaRN7Af9jRbFnrnZIY + uCV6jiDrepeBRaKdKPg8a9AhNtbEXeLZrgjmegFTPGPri+FcYI8vN50A5icwnxJUAR7ZBVRMOPfo + o4e3JcDce+8h+QA///mH/38AEE88cQiyxAMr8I65Q+KdCv6JNf+XUPexGz904IwTQDzxcmz1ZxJi + rDAk+xDwp5467GDznLs8svdeOgT7wH/Y5XxCdpcHMAERMP8fRVjaaQLHnh2mcOnkp+Sb42eY8Dkd + tZ3o7a2XBJHhFxtk2s30dPw7BcjgFS+7V/IR/XhhkHy3UD3dnTAln26yTlVzg39ED8U84xv/c6IN + 8FcogCc+eQguzkPF2ikckDgJesEL/K2cYB/eEeBnTDPHYUAlXiCN7Tq7l6P00MERzplDgkW/ADh9 + yPrvkPR226EgFKh5PT66777b7eXwdM4ekvB6AX7Na/y7g/NhCf4pALJ2jh+Z9HYLJhjg4ot2wHa4 + LYoFvfqCrHctAXj5bhMYKyT6NIUWsSMGPfUrigqI7WTIa+bg7ZinR+JdK5ZwwcZuNPOHnyw1cewv + LIAJ3KcFlgJO1RvPl4UD8PB7OBV4332HH2SquMcf99cn/sDz8DblFa94+QTO6TC7aek7HGuAkqW3 + wNJ9sCUhgiu5hzkOG981hVXVO/rvnkRLurUD77zS42p664pRuzr4nnzy6vH4/Lq1+wT3oHsEhuAR + TDas0REtvXONv3HJIYfI8QePeNCFF581raI57tCV0JIriXjoUxiaB0j6xMy64qKPbrrMt663Ro4O + ttkxd4cF8OS8Lynxhw9yHJWOTNUr0TmneiXBbnVP/cI8AD01oFS/e6Dj2cPOlyehigEYySvxdqs5 + WdO7BRw27OG9vMByTEFemlNIUr88BXL58rTl5DHpx1zhxa7HJ0BOr7vmdoPgZdu8ZwY8B5kbf0VU + Qu2m1uqXknkpsXjjg59u15oYkYtXr0my9ZJjV9v5YiupTiknkURaq5icUuQrFLzGMOChU8w7ecx1 + mgzv7Z8AI/i5sTPGDoG0yw9Hk1+/fnY+w/YHj34cobLtYl/g+NBmAj5GgfZWSHLvu+/GjzT23WGX + W1dYGifsVOQ+L0EcQoJovK4H01Me/MaWosOnaBQaEiCnVDLmekY4FOnh7VE7kl2JokdASxC5km6O + bf1O1hFZlE26BV9y6ER4dn3ZVTT4bRK7WzPuLaK4OwVKNl100wU3G+HDA6cHRLdcBXXYsOsj98Mn + SxRsdOEtQAFMKMcIp3wT6Ju2F84D1Ofm84DDAwvg99zj386VhIOT9OL3dq5jCBgFoUD0BYETaCX1 + eNrQaZ3txtY1zgkOhzhufT30zY47JIYcycNn78YH3YcHR3rd8w9zB4x49sSz4XpPNP4CLGkRffg1 + ePAdsB++8KJHcvTW9DCnAy+96dY7nejzIOe7EnrtZn7TlR2xSy892VUsTg/PHfSxV8wHw+2fAGPs + 84f3446rw71E8dvBL3rR4QMeIO00IZ+0r57Rdr+q3gE4LVxzEOBDURzu4XTTYKfSgXJKL4Ds2QkC + 4e2jQN49R7ikksnesC875siy29hxL4iCx6L5vcGnmUP1Bz3dEg9r7MMFj6OZX8aSAwvaE5Ud8/nG + lrFYIJuGn3jp722zovcHp+Y0esmlxzMEWX8255/JN4ZN3wky6u/oXcBUS45K5OHJXjVevvym5ahk + +K6+Hbk8mBdFogoLsGNdcBDAjnfgfGDEGc7O9Nk1b+Xmv4IX/0Hm8JGzE0gCvc3ER8eTV/1zb/Pd + wv2H7+rZovfqnEzoEHhJPQQMb0V4Yz1fb3wZRC6CoeLQw48kwu70Xh4umPRiICkKDQ/+A45DUSZv + zpgcG2RgjySQvLj3C2h89LKByEq8t4duuxKefwqLTjKj5/BPnKb82F94CxgDjzEcjfwoObw/ptC9 + FDiJ4PAhVjfuk95DuzXcf78gCvzhGO/pXhAPn/oJ+A2edgS7QNcb2l2PPPKFtfu9vYPDhzp2jM8m + XvrSl6xguB2tIE0h2P3sX1Zk01w7tQ5vcTl1ww5bJbkAmkMSFR7Xxvw+2D4UAHySJ6Hu4Yc4Hb7J + k0BkreTTUZGRY1NSzdHtGsHU0e65wHq65UDi/YGM5Es4OQWCj6xrNgff7RfAJP8Jzgk0oJToVZsH + tqtXD1/q9H7b2uFB7BAsjjghgOjh7KDnEAAOd2vppBkVi395LTOrHa+OR7fPDhy3cLlfvuTFh1/r + mHv00Rvf/R8C7dfAh9PFu4dL1xyrhy+DFCI867/p4XQtYMb5y7rrgx833vfbHOLDrqfsbk0SQId5 + 9+H46EbL5jbOrngY7wWA37wm0RLsQyykANgwb/crMLmhIx/wOZ3IwDpFcWEBHD5uwr3RHOtXpz31 + 2c/6Rw4ORQA3A5Luc3u73BF8qGi7+LCTO84kkEOC2dM+XjrwoAJjPNNeV8+WwskZcvQ4cT7zmU+/ + 92Mf+9iPzKdnH/6TPz38Iwx23//X3p3GaFpd+QF/i+6Gbtpge+yBjJnxDBgTEluMiW38wTaRLEfC + GkvOmNiJrCiOFBIrn0ZKLEfKNytf4owSJcr2IRlLySRGljIZi2ECMbFocFgGj2HYbBp6YWk2Y5ql + zdbQVP6/e95T9VZ1VdPdQxWFUrf7qWe7y1n+59xz73Of5zWbZqZvzBSmPME1TWp2rD71oKHu5W81 + vCIA3Gsa1NAKJVhKt3HN+Z2iv79nz54P5fxFwrYpi260SXgtWY3ThT/yNU1oZu0tF2075hFYtSRv + WzgANI1Np/zk7ty0suV4uumUWZx9Wmh95TWBg+gUejoEn8W1shwVa9zGggiDkjClMSlZhnLlkdol + sVYBG2HIWyApl1jMlnvGTCvG9XGaK+rTjv47wdDlEchj6Xcvyq0ng/4zUt/cC+mHe56B89CG8qk5 + 2aSydPWU0Op6tVkKaiE2/e5lG/Op6EE/vgGgLT8Cvj8g+M/uR+CXxf3fqDwloKHkVDFDt130VJuO + 5de2jazsJXUCk/aAAD3qUKdjbQCDawX4KqNd11m/vCnrsy+FoFHz4p8VPYDCmc07qL80udMCQZDG + 9KFceJpbqKnuFToRK1KveftSBhA1kwo1M12B81nGuW/9+Wg7zZTgXziSuh9XJtdfzsLKv2cVDsW8 + Ek8lD3pfD5096lAn2tSvLhv+JNd7GxdWuJbyAynFXwmfQtRhn0D4X7gnBZT/Nwp7QZvaowhJm7P8 + OpaUc6/3ZKtsK7bb7Lqc96Z884F/QHFP+dJRgYqXSL5DvMBKaUUAqCDW9vSY2Mm0rr3UBCFadC9f + J/fYWzNfhJai5REUAoz7EqZ4ErJogdRePaXARv9rCTqVD4ofGTdVkJT7f8Tto6eZBgJtE/EYIqa9 + om3RkxBctV+KG5Wt8qfzqV85gqR459oKDbtmis7H6r7rvL2d49n2ZnlFF9pZ7+x1AHDdJrkPTMvl + 3V5BPnXJZ2talXUvwWPElKmdFdKKAJAvTD5WymmFFQDcmwI4DVHU4vVWfjFTaG0Fd11dtupZHHPX + ObVVd+Cc4Gzd1YSxioLcrPRKlPBjDGM0eRPqSfFUEYh/jiU0Aa3Nsa2BMTKs8Kf4KP46vzKO29LS + NlAupMjtNvSErnl50N8K6kzKdx1djy5FYKdsB5OOKV6bjjs5b9rVIw/QKOfYtS4DsNmeRcNKaVUA + hJj93OhstK7SIr6sqogokbteSK5mnE+PskNwW3pfb28wVdkMU4Si7haeroS3yPWjItkw9235p4yH + 5GJefkIrGqttecaWQHaRvkV6+9rsXnmba31de3jNPg/NJkteuIhVPiJ/2p6Tj+DRMavAarFBWV2j + +/KiT5SvrGvacb0V2DQs5wsApjQNOrs+3io0PbdS++hYFQBxbQdyunPaAAAfo0lEQVQQETIHIS2I + Jp5SNdiEOG+GuUblmonqy6vfxUB1q9UFqK/rtncPdhxjmptTHzBEOEfRG1d7UzPetBXdfVaCdlbP + HKK8Eb8s3tdWC7b3i3ervOuEWDSW0tLuntl8jkPzs823vfy2Turpa/Z4ZLmCP3u8AEHzpA7825Yr + UfnOP217yEk+mzLT9pZ7ziZndQBE8I+WoqCZ+1hkoksjmLIkPCJWvKBhZXkPRFJePy8oBVchrl29 + ba2ILgXUfcJRl1m9qaBqvddosf7EA+xzrzdXp0yncvUUEO0J1r1S0KLSu4x71X4B0HX097VZBRB8 + 0t3+zKbkGV9bny3X5eVzrB6bPL1HkzpboWh1TX4ysMkvdd32UzpGEMjdk3XXSSbup45adTpKL/1z + lEX17Yz/nyjLpdCy3lJWESEfIoEAoYixtSKM/Q39aoKoAij3WynKh7eR3/UimlDcqXsLdQaAgJWn + jvXuVWUZfwOOX4SOJ9DQGFVHmI4LTgPTCrWlS+s6KwAt9vsaYUtoaSE6d19y34ZH7WV/17ix9M9r + XY9yjru8bF13d29k2MdNhy7AfICy2mmlDh5TR+fr++p13HU7Vm+DI7dPHABp9EmMqhQBaSINa0pj + JVcNmNItwqoLmCJuNO46EEhN3DhZ+FPCXAzyyhvU7UVlFMPjownvWSg6PXg1o5QwfIu2Fp/tB0jT + iaTUuFAE3Q1Q9JSHK+HJRHDacs9+9przvq8Ox8l338g088d1mzRbprO0TJsO8ppty/WSW0X9g68p + PbP1qs95t+G46e7yQCDlulhlxbSqB0j1TxcfJYhZIsmmG15EWRFToCjPwG0hgqARZ6WQhMAqX8Bo + ocjTI4vuKoAPc/Jsyetqy7lQJkOva+SzKa/+I6PbKiHJ0/TLM9YT5FpUtcBH1zsrSOU6Kd/Wah/e + 5lPXg31/Zn8KWvE+qzz31eFe0Vk0NW0lp1KHPOiQz/XqagewxsjCvc7fNCrTbbjfm2uhZclIZWSc + /lkVACH2+bjMIbfUt6AwFUtuDGGmYURQWDNu774ADqEWjIhSc2kkgkkN2Sigu4Fyu80Qdy1fgwiw + ErxpvAgYNdWfTAhdL1/ai1L0lUWfu60ExdCuWzOvUIxV+aKnjrtMC7jPmzfDtalw5xIoHyXY0Bmc + VvQvH36aJ22qh9xG+6m87/e+29MFqAffZKeuHM+hq+tVD77VpbzrUu/HSf5ELkfR2fdWBUAqfD0V + PU6xiwJtiynCM8SYF3jkKd28R6LGsRFKNithCr2Iw0gPU6hPcNhdR1s6BTmWOjjELIFJjqfMHwWA + Rx55ZG/IfTGzgHNR0Hw/Lla2PYI2pQ44tddK6H3dL2ES6GwiaJMp8k4VuD/TwDXRP5Mx1roNnRQn + Oe66XGvlqMe9TmTUm+vkxfIdGyEohx/PHjyGN8fv6SD5py7T1aOq3nebLob2MXvabc3uFymYvZpj + FWTbH4t5n4YRrPIOnpx7GIKQuOA55z2UISx9/5lnnjEYIHxl0Zi/qQNKqw0MNtGsnmdQlzzuNSPW + 701BsyX0LNFOhBKlH74pAPprAeLcmWfUG8VFd02vtkKwqc7iZTHCX+RvMZAtOioPnsytKzf1fD9e + aXYtijtNW+22Z3mg4OYVHc673dnrygCAfefTPgO7//77vxi5X5v6zwsfP8yj4Hen7JhzGJmnf/Cu + TlvoXfyxw9lMOT6WB8jt+T2EhcgmnmIkDcQDzAUAR/bt2/fBvXv3bo0l/k0uErGi/2aiRgRigZqm + NKykQ3U4LlfmmUO5xiK83Lh2pVOzLnG6drBMa1ytP7qaxx977Hu6Gm1XMAhki5Ms43p4qZFJFDQV + Lt6WJ3S1AN3rPFPLH9adh1LXaXd5imJOIzORPBBMwbKgePc6aWOpbMv7uE92uoFFGY5PzFydV/T+ + MLy8FNnfGzD83akHGFV23epsWnPNbOmK6wEVWhUARdiWn7bbYrGd3NOYfSzuphybEDmS4z8gaILx + fN7TO0leWx9TsHq5fEAoANRcQQWBGisX3ZZLmI5jFTWsGLUt/tn/4P5rACRCHRoFrO5e2hLQa0Oj + IJECJNck+aTON06m57P3cjwf0H+/78/uQ98O/HgIAwSObcqTQR83HfZdN7oo1HMESR02edCaB1/f + nm0rILhVl9v0zu4bXGkzXryH8bOl6/iYAEgld6qoLLatoty3tQDvee975nNvQRBp7Ejc/RFWGxDM + YwjTANEEIYbSdQsEVArG4NLlU9yfssqpR1JvLGJFAEQ4GD0YgQ0tzgqjyyqPF/GKYJDgba63EuR1 + 3O63y6oPCG0R/CMJPB90b3kKfafjcbZOPEjNj7ok17UlPxlRJl5bbmjgAZq25GFos+lZdaWe7ApI + 8vax9nL+QMtvtmAfrwoAGcLonyLORBBrViHLpEBu/Zff+8up/5QFojSc609OXxObo2AbJrgz11mp + fBWc9cxXCVabFOQ+AdoARLtVJu2esmVFAOgfs4DlOgIgWApu7zIVxFCq+gi7YpkSlvu9pR3fSBrt + dpuuqTNlxzAsbvg7QLRSAgB1dV70OO+6irbFFcNNLyW5J59raOiYigwdq3NZm6/Kl/xz2lBO+W7D + tejv9pMGQKL7n6eyhylFZdPGhvtUKSsOUUtmmaK0O/S9VrT2e38WlXgV68ysVQcESZ0IZVGMGqAQ + 37OOlO868FT+EmKc+KqB6969e/6rvKXgdq0FCPW2YLWJRqkFrj30EKb7rkuuccn4DS1zEeir9957 + 7+/2/ZFp5k+UtdO91LegFLdbweSIvn5c7NyxrYEXmS7EEP2UEB1pfznv82nvdfltjFV9DQTtBKjX + zZB31OHyCpdkmK7C/X48wRUQbxkYIsfbOXPxBoW4JQFG3NiuDE9+65d+6d1p3EcRKNxbuv3NH+8I + VvCkv0OsROiYtGFEogP3XcMYJiPYQsTIsfRPfvX7xosv/ivplubnDh58eoAJ3Ra2SENpqZN3eO65 + WisnTtAe9xs+tTEfWubQljZTZH4oEs2uJdD9x1kCdnBpy4tnUdJOFuvFjFkgaQMfaLABnPv4IlOG + YW0fIOBT30/5hnpokyL/nUZeswkAcn6K+m3q5g1DI8C8mPcdb5vNv/z4mACwzi4C/O95M+iKp576 + WQg7ZRCJ2NCdt3MGQ0XdtObkv+bQoed/9/77Hxg/244g7t6LoGef/RcmH/3oR8ebK4TUSkc4pgmC + YCTlnDeaXXeccqsCIML7xQMP3H/Nc8+d9bEwvyMC3xEhbonyxgRKtRe3OOguj6ItSuAhvO2U4HWO + tVpJvC0e6LRTT5vf+Y6dFHNbRjzfuv322/8nRa6WAvh3jJdOQ3vxXt2LMq0kcSwF41nbFnziT7tk + 6zijqsmPfvSj8cIqEE8N5aip8Fwf3ZL6lTtw4MAYngNvwHJdlqlVALUKwccEANRnqLHrvPPO+2n6 + 07/EbUawg0gE6WPT8JLJkCD2J2Hk8Rde+MWveIuo8nH1Wyc33HDj5K677pp89rOfHUCgkFYyYchL + SAQhEaDrUt/fsX3HijHAyJQ/N91002+pU5eizQiFi9mW8iZoQn46lm3btjtO/duT7zS4kidtb802 + pj9zL19MPeWl0PR0SjwaIL1sAgZ9x0pR7GnWJKCXUoCfYpoPtNkCrNGV8qoNFPw/+OCD81H83K23 + 3josWVs8gy415d67vG1lbOpQr4mizFfMJ/9cPl13Ja92rHRMAChooifpn2S7ylJnw7uXuamM6a0O + DnNLEBYAzCcY+/0o8Rs+KzN1q4MBArnllluAanLZZZdNPve5z4019C0s4BLssAp5CZulAB4hYjLz + AccEgDwAZJt6Tp25PsC24tsxuf6mJIpIXLQVvSauOu7AH7o8g5DcB5LT83DTw6wAcj6Km7vhhhsm + 8TC+JHpXZAeUZ6Tswaz9Pyef2fEc5H3Kz6aUHS5T/QzWFjrmTFLFi3x/Nu9Kx28IAIUCgqvTpz2D + CP3RK+nPKWo6JF/iDylu//59/zZMfsPQ7oMfvGC8KeTde67M8FEfde21105+8pOfTL761a+OLoEb + xIT6G9HOK/pdBEAYXrULWInB9byG7gB1K6ezJdbouYQeKzQPUKf3H8EdUNuMrACbxVP83XffLcY4 + EL4vcp1FZ3tf5PXz/fv3fyfd328u5yd1L0yMkV02I5a5yDvFXqjv9C4vNHN+XABI/vm4v3+afvA/ + QhjFQDVLTTrKJz7zzLMHzjnnnAc/9alP/caXv/zl0Y9dddVVg6Fns5Zf1/Dkk0+M8t/85jcnn/jE + JyaXXnrp5Nxzzx1gIUgBl0R42vPsiFBy75geYBR6i/4UALZs48mMYDr4rNnHdEvxmj5IgY+nfvbU + JJNXE65erGWamYHk3nyM7el8M2hnQPJ6AHFPPOElUepXUv/1y1nTJvnY003yGqkw2quW513p/HgB + QCHXqICFSwCgoaQlHsAFBOXe733mM5/5Z/WC4/zka1/7mjuTG2/84eTmm2+aPPDAnjD9TPIdGULw + EsOnP/3pAQbvFqofU/YDAOnJp9c2rAeg2Fj/VorW91OIrqjCkHQBGfq++PxLcc17Jnfeeedk/779 + kxcTeFI+69XXf+QjH8k3pd8/eI3VM5JLdu/e/Wep5zcTINYYmpCniYw6NRDIKfX9oK8fa3/cAIhC + H03/NgVADWUMWULgUQDQYACzKwwNd+QZQEf9v/3bf31y8cUfmVx99R9Pdu3aNRgnAAAQZLWHmYJr + gXbClcLchvUABB/Fb+PqfSeB4zIM9q5gJ6BPoDpAL2CbWu0YYn/4wx+exGgmF1xwAa8wn+5v7o47 + 7hB4n8atpztIjUtT2vTUdgSuPA+PSS+R351Lc658VlJd+d6SqyHgtRDwjMBP38T9Q21Qd1QXoGAI + eCjEjUkVyvQCBwK5w1/7tfdPrrjiislXvvKV8Xk0RKur3aD8kN1Kb0+g3o0MgKlctgnygKC6yHpV + C+2u69oS6Y/gWizEagXXl1xyyeRLX/rS5MILLzSHMB/QzImLxEAx/F9VPumokD7yGI+C1ZPjUR/5 + RV8Hqsix/x63B1BN3PVNiWw/L3gJXcMbpOEVPUAIeMZ0a+aA4trqRycP5usipyaG840B7u7zn/88 + lzf5wQ9+MLn++usHclNuKF97FG8TUQMPBuNNNmwXAACZKwkAFl+E2bZ1kdxylnmla7qM7p3vfFfk + uH10fZdffvkkw+0hg4yk5vAKQJQZQ/DN/seyPUouyxIDTMxZr6aTHzCk3JIZ2mVlFk6P2wMokYUW + t9QQqwJBDSUtGQa6IEVxL3ub12SRLmD85u90utesIGvnGi+66KLxmwHb8xWSdmGUrh0CGP1qJqA0 + ZSPgamHj/aWE0BsA1HwGCgV95Qlqwkk3uiPPRHSJDMFQ+JOf/OT4LL4hcytQHZFvZjXHxznnEhBu + yfGNy7lOvvE7AG390/LWc66ol+XlTwgAiVJFpCHSLFoxFAKMr49Kof01bp8CDQe5eccSYilb//f8 + c/mQ9JnvHM/7Ea9+SV75DJccV7vDGk7Ia43K1unPCP6OvH5qKSFTvGMyqr4tWLbCIZorqJGNSSBf + T2ccRgD6bhvDwm94HwttyCF535tu8nuzrAAJADTAlHMt+e9uOc7mX+n4hADw/POH7lexDzQN9z6Z + O5IGV4wBEJUo9iXPAzBAKMNFxhsMRWfq1QjAtOy789yAm3edZZQr1Z8FCOk3zeoZVgFCWN6wHsDk + ThajbGuFeAFl6hUi+zCjP8x/XkAeIwX9v+8o6evxDgDkpZygf8g7eSPn72Ry6KjVvZHJ+AJo1Tfy + mRr+EVkeTzoha0rU6iWM+QxnYG+y8/TTn6GUlRKC7rnnniwsndthnO9buD42dfqOvNIc4kySYDTz + JmNalLAEgoaNUIyBvPU9ZsrMy/MELCcfhtqwACCH8DQAwBrxQD68nxQ2AHjwR7GGh2Khvk9m7SkB + QDfo4Y8tK5C+7nw2Tes+JG9bf47n0pW84Qxg17Oy9vrusn2mFw+H8PsP5xVsU5in7zz9Z5S4UsJ8 + EHvokUceGQwUY1Hs9DGwbmQqjmnEPD+GgZjUNVA2C2D9BYjkj1DD9AmBdiXa1vIaACxRehQ+FMwB + zCTK1ucbFdiUwWd3jT0qMqUb5X8rI4YxJz9TRR8eVE59QBUAvBK539o332h/QgBAVIi+jhIHWrdu + e3i1BiAyRB/CpPG9eXEuv8f53B3wkEvNE9QHFgegcpGHACIPdJiOJ3WH81g3bnPDAmCqCA+eKGIo + 06fsnOfB8lDykSiKLFyjsPJ0tfrZecsndY0VVcARGf7eanJOlzHeilLOFh1dG3kveUK7WlnXTwgA + CuzZs+ffUy5UBwh3IXC1lGcGB9sVGvNyeRZu6g/1dYenAY8+ESCMDuTTl8o3fdoYYUag8QSsJPVt + WADo02MY8fdlkZRs7O9czMT9j4UwUTTPRvnkgC/HAEReUs7nyCLytd5rz7i47I8yCbQf8sDOs5Ys + UyPHf2N/vOmEhblv3777grJ/mQa/mpUx/42Fr5byPP1JfTe3hjFeYwgiQgnPUXQ95Nm+3VKxUwOA + ermRwDAHMMpIix50/oRBuxp9b/71MUM6hoH0iOdZHngwVm7VMmsV2Faexa964BdwGITjGJrFJ4vs + LyM6i2BuYYS8c+r645tvvvl6dR5vOmEAUMyVV1759cxXf/3AgQODgdUaC/FP8RQYgnT9O0vOWH4U + KUDUtDL08wD9CFU7GNFtsKy8bjPKJN+GBcBU8Fl3wPJrGVjFNNOvrYUXfAqGJflZOTCQk3uMBe9k + Q2YxoJUXH44aJh6t/6+A6g+yPRbX/43EC9M7x7c7YQCo1pjVo9w3SlH88ADyeVtnKDxgjt2Pohg2 + /DmQQLFdYaMXSIa1JN7gNYwS5I+7zG7RVb4RDet5H39R4DY8oZHyKdO+eef6ax1FPegCAJuAV16b + +AEgyCLXV+9jw1zc/+Fsf+Nk+Vxja5rby7VTpK4Cc47Nk1Owr4rbHsqPLpoZnE0CwwJALakiECkA + WGOaZ6k4seOp8rbu3Nm/91tdHA9WvNfwFwuUTMH6b4+D3ef2W/Gif8M/RnRiVJxY7pPyAMfbRKz+ + Qei2uBRzrLwTS3ghoLgt6954E1/3kkcXsegFasLEeQsm5TesB5gqeXQBXLmNsnmDnjzDJ48gNrIs + TP9t8aePQ7vWyZNC9+I53r4AiAWPcFQfB9Gmhu+77z7j2mHdWTgy+cWh+pnZF8MsAAhfCJLgbKJm + 7lMcERxIG9YD8Fh5DLwVvTYE40UMZxjo2OduWTZQCwr95Ky8eeY/ugHBnOlhyiez5HticL1Gf9bY + A7zy1MthhHu3NMoKmb179+ZZ+C0BAKWmn381yh79faaEp8vCTAIR2hDS9PUyVmNGMO404dXGTBSZ + ofFWivbElIJPn7fKt4JCXUEp9vmFuX/u3xpJYO/Y4eMf//iYIQSGgOqhteR2Ta0pln84C0ifFgBW + Hz83ln6ZFgYGrlHSHTjy0zLcImH4LpDInxsVNPEiMtUwssqNwhvoD8BGyVYWh976vr9rhoISfvAM + IMBSXq0WseoqeUC/sSgP65/K5/gH9SchizX1AKw2ys+7+/PvwQz0//qvv3/yxS9ePrHSRXdg2Hfo + +UPjocgHzz8/08u1TFrUzzPo+wWSJdyxln9jan8q/PDp9fUoud7QMfmDB3MdNt/zPz98yuMr54Dg + +Qfvd+655y6AQnDIAyTfwyeh1+MusqYAYAkBwb5slxg6erlEsPOxj30s28cDiBcnt//4jsmevXuC + /u1ZKnZxvMAOoFkQBOHxAgBEWICwURMaY+We2w8SRfomu5A8ZgCjdIHehz70l7Mq6lfHk05rA4DB + dQ+Gemkc4+ERYjRvXw9QAHjtzrjvvyUGOJSAz6tOBEWRLOLSv3rp5IK/eEEEND85K10Dt2j+n9LF + CO0qW+kpK/XphtqbowpgMw80/TGLV01fL77oSamGiFkxPZ6O4tmkEVlYHGMDBt2dWKm6ksNPrSWT + a+oBEB5r3qW/zxPE+cwFzBneeAbO4vX1mNfviQMEQQRSyveouB6dDkuaKn0DTwQG2LUCyLMMNAta + 8AXIW7fWr46Wd5gfQz+AwD+lu250oO+3kUHuPRMwLI6d1wAJaw6AjHHvStCXr7a9Pl6yNObVHUht + yFydQM/c+NZ4gHahW7L8j0fgHu0J1X6jpqYbfQ1aa/7EOWM2M7Tr0s7IJ2woXmBM+Q14w2TBoKen + 9vEAYx5lLfld01EAwiOUF2MFuyldUGMPBDXEqalPQohEhlD8FiDLJyibFUEEVMovayK8jZjCa70S + HstHLw8H3IOfDG1dkxg1a/eanYR/5wChi3Qsb+q7R+C8lmnNPQB3lgDotrjuC80EAoB1gKyaO+ce + 9emHo+yt2V5N/ydwstKM4Dw540YJhBWxso0aA1CUftvoBX9ohVWAnc+PVunb65F3TYsDOF7kY/2M + QheJV4oPMG5V31qmNQeAZwAZ0//J9h3b/87L+ZEnzD4TpJ+RoQ/hCA4JaAR/6QKOHCmLz5ffI5xe + eFqLRgytlN/IicLwwmsBPNC6hkfnhn5mAwdQAvRWPqWTFet3bXp+y1rzuuZdAMbzPsAujLDwQrdP + mNevjPMIXKCVwwTEIghnjIHz+NTcsPs1ASRuAIuNmcLr+LwMEtFsDwxkgCf8VddXVk3RFM4T8A68 + paTrkNJV7h4Ha/hnzQGA9qxR250o8OXTYhX6RNEvhj0YAQDC8dyfcGb166mhf4KosvwNq/uhIooG + cKlnOjtoBYjiubwCPvGNf3lYv+BPHbakh3Nv9dU2crwJaV0AkODmSPryXZRpXrzdo3V/lI55di0m + AATCYgUspgRXCyqGO0gdsyB5E2TwplXRymtli2/EMZVqRRSejf8l/IkV5BcYO++Ua38GHGud1gUA + kJ+g5ipWTNFcnmGO7wx4aIJReXQDZgdZBqtwzQwaj0Hptql1rLVcTqr+AsDrh9GML4AuY1Zd0V6r + oZ1XTGDugxEAACBMefTp3R/ie63TugAAE5ni/EMCIgDWTbksf0wS5di5VEpfGugBTQ8FCXKjJgAP + ePOqVrqAWDpAF1/l1gGbDPDTwHAu4mcQ5FL35+YyKjiu9/v/vLJYNwBkePNEEJ43i8qah4VnhhDK + bdwfy2khsYYOhuzFDjVs+vOyvLblY/WvmNDy5A/YPdegVOBoCy/PUKDg/QSCvEBk4l3AZJ8/lPmA + B9aW0qp93QDA2rNdzSIom0VPLWZQQvGAUFZg7fz4dk7OTQyZY++5gPUQy8m3EVrzokYBFn+6AgDw + iXq84xM/ugCgBgDWL28AMN4FTL4b4hUqUDh5Uo6r5LoBgBDyiPM7hADtmfOH9BzXt3EBhCvkHlmO + fIZ+gNDegNdwbSOngPsgPsJaeKg1AQJbb1Phl/L180ZA9qxf/k65NpdR05XyrkdaNwBgJgC4I/1i + Vn9Zx/5KPh7h5Yha/kzRrIVg2lJ6iTiLIizCE0Gvl3BORgHhI7+1VF1ar/9j4SZ/dHF4QD8w8wbu + 2YC8u4jI6f+cTNsnU2bNZwJniUqk+3qEcs3WrS9fzvX5mmY+xze6BN2CLd8XHO6QMIDCMInHAApu + 0nX7jZpC86Ome2sKfCwTH7EL0HvOIYRFPyBMu8XBJ0C4ns03CVd7D/BNZ3tdAQD5GQ38hw984AOX + Yz7KzavMW+YoFyAEeaX4+j4gC9EltEA9LHkhU6kb2QOkS3soLnx8qtWkkAUukq7LWkfPNvCIN+sB + gVsCCCBIl/D77q1XWlcAYCrC+eF55513OIyfmgjZly+G6wcAip0CY4CBRzBMtPedAYJ9KU/QNjIA + 0m09oF83BLTmoSd6LH5517tqpbN7Rgf1PeMa61M6ABw4cOA/rZfytbPuAEh/92q2a/Lt4C94HCrK + x7yNUFiJYZTxPuVXbFBxATca61mf6OgktRBw3s2VW/0kBsCTGcF3vOOMEcfo9vDq8zn54MbwBh0X + BOgPxyvsO8mmT6rYugOA9WaS49+de+5vfIFCuX0C676d22QJzuV1j8Acu577K36S5qS4X4NCAeze + KPTx0P0rwIt2ga5uzNAPD/hm/byecwEufjP2/1fKrGda11FAMxaU35ho/tUodT5dwfgxhlY2QVhP + z/pb8cqxolpccfQPSHe9G2E/jejHD1oDLz50a67zCrqxn//8qcRCT47AtoEf0BzJa93fXm8e3hIA + BPmHIxCfMfFZ0zlCYAUlsMwMHn41br7mznN/fCnL8C95nsjz9BvXW0gn0t6D+QZgAt1vZci3m2s3 + 4YU/1m5k4ImfN6IAwj0eANBz/j8S5C757YUTafdk875lC+zCvK9g/22Kb7fv2JaUpVV+omW8J+gH + Gu+NUP/h7t33/YPHH3/ixN5/PlnJnGQ5II6SD8ftfzeLXS/P3s+6LXRn7gOEuQITRN215QcevpDg + 8Q0/7nySZK1abN1jgKYkrvD6888/39cvtuj32hVOvcGRXPvTRx997L8kKv5erONx198uiVLjCZ6K + xefDnxf+8yz3/p3EOgt8AkTzi6cYwHcz+bOuwV/Lcphbn6znnhDy9bD/nfff8+se236aIOlPEgTd + HGDckf3D6TdfI8i3e+Ld8rLnORn6/uvwcnl4Gt6tF7lEDg/HY1y8e/fuVX+GZi1l8JYBAFNAoJ98 + O1n3ySpDAHv22fkk5Fln/074viw8n5X+/7YA4h/ly+EPrXf033y8pQBoIv5/2gN9P9rmHQSDZkI3 + 06YENiWwKYFNCWxKYFMCmxLYlMCmBDYlsCmBTQlsSmBTApsS2JTApgQ2JbApgbWTwP8DR4LHOzRW + X8gAAAAASUVORK5CYII= + + + \ No newline at end of file diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/xml_file/p_shot.h --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/TaskManager/Test/test_render/xml_file/p_shot.h Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,1 @@ +#define P_SHOT1 scene_graph diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/xml_file/p_shot.xml --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/TaskManager/Test/test_render/xml_file/p_shot.xml Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,263 @@ + + + + + -29.624865 -29.644538 0.000000 + 29.703573 -29.644557 0.000000 + 29.703596 29.683904 0.000000 + 29.703596 29.683904 0.000000 + -29.624857 29.683904 0.000000 + -29.624865 -29.644538 0.000000 + + + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + + + 0.039362 0.019681 0.000000 + + + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 + + + iVBORw0KGgoAAAANSUhEUgAAAIAAAACACAYAAADDPmHLAAAACXBIWXMAAAsTAAALEwEAmpwYAAAg + AElEQVR4Ae2deaxtd3Xff3ufeb7zffe+yW/2A5tn+zkmDDKitIAo0FI10EZBqlQlRRUqKrSq2koV + lSLRVqoq5Y8mUkvapAHUhAwiBFqIK1VIIVBhYqA2trHffdOdz7ln3vPe/Xz3eSYGzFCD976Gu83l + 3XOns/f6rd8avuu71s+Yo+tIAkcSOJLAkQSOJHAkgZ9FCRQO20Ovr58w//B9HzRXXvXzxgsS0z3Y + T28xSeLDdqs/8H7W186bCxfvN1Ecm8lk8AN/Ns9vFvN88+9+79On7rY/9vHfef8D9139xW9841rN + 2NVhu7P2WLXc/u2tzRtf/r+P/+l3/8qhfH3m9IPWBz7wz3/5zLkTf2843ut89rOf/swf/P7H/qXr + jPzDdsOHxgI0m3eZX/iF9/7eu9/9rg9GkX0iikqrnc7yqdEofAgj8Msn1x5o3bz15Of8oH/YZPgd + 9zM3d7b8lrf+rU+9/vWv+eD5C2dO1uqV5Vq1+ZqC3fi7w9H446Nh1/mOX8j5xaFQAMuqmVMn3vwv + /va73/n+Bx68aBKEMho5ZmlpLTnoTa2vffWmWV689NowaD+1vffFb+Qss+/79uXykvXgz739M7/0 + 3ve89ZX3nDFLK23cmGfm55etOC4u3HXXubfduLHxn0aj3qHxZ/b3fZoMvzHXefPlqw++4cPLy8dN + EIZm6oyTKAnM3FzFvPrVD5mVlRNm49q+OXf26r+pVS5YGd7aj/xWllUyF86/7d++5c3vevP9D9xv + Tp1ZNNWGbaq1komiKLn3nivmwoXL9/yD933oI4VC6Uf+uy/1D+auAOXyZXPffa//7Vfec2+p3Z5L + CoVCEgSxNRgMjF2yrOMnO+beKxfMsfVVs378+KlTJ9/wjpdaKC/m7x879rbXPPzwOz508dJ9pl4v + GT8ITblcMItLC/y5xOrzPJcuXcKqLX3g4Te8/cSLeY+X4ndyVQDtmtOn/trff+3rXvvgK1550RSK + RWsyiS3PC41lWbyOzPySbe5/8II5fmrenD6zbq5ceeBDllV9KWTxov9mrXqpcunCmz65fvyivbS4 + aobjxAyGUzNxPO1+c+78WdNqN9PPL5y/UHr729/xr4ulyot+v5/kL+aqAAtzf7V+9cHXfeTchXPG + 2IGp1WtmOvX44PNqy9gogbECFn7e4EZNs1MwmNeHl5desfSTFMKP97cK5urVX/nV8xfuXZ9OXROb + wBz0h8QwoRkOHBOTBiZJYkqlstne3MGtLZl2u/NLZ89fnv/x3vcn89u5KUChsGBOnXr4w1evPrTc + mWuR7++YZqtuKpW6CQMezlKGaiE426ydaJv2fGiiZGhOnz6VnDnzwHt/Mo//4/+VY8fetHT+wpV/ + 1JlfwE2xuJ1SuuCea6PIsbHtkqlWKwSxK+bWre302ZaXj5Xf+Tf+5vswcz/+DfyYfyE3BVhZeEfj + 0sUr7zt+4pRJTb4pmnLVNnGEx0zKWITE2OhAgTyl2TbmzNlVU+RFp71s3X/fa/6ObfMzuV8Fc9+r + 3vurJ09cKMfGNXedXzAd2SbuPQi5+bjGR8WMBrHZ2eljDUqm151iBVZRjMp7F1CKvK9cFMC2m+b4 + 2tVfOXfuUgtpmeFwYlqtBVMqJ6BmGNHQNhZCjPnPD31TRJYXLp42tVrVFNhR995z9cG5ubtyDwQ6 + rbtLZ+66+IsmsZOV1ToK0EmCKDCe77LwWIK4bJwJSxyTDZRbZmnxuNm4vkOQOG/mOiuXr1x59eWf + SQVo1C6bldXF9586vWYCzP32zrZpNBqmWLJYeNs4rk8A5Rof3MxzCyYKDQJrmHozwLd2sQbn7NOn + H3hd3sI7eeLN7+l0Gq1GM7LuedUa+b5luZMAlcZsAV3blo3Jj832do9sYMnMzS/hBvZQcmUIbXP2 + zKX32Ha+UEwuFmCuc/flcxdOn51fqiZbm3uYQ9sUsegR9h+ZGbtgs9ubCLFg+gc+i+6bcsU2C4vk + z5abKDi895UPvz1PBbCsullfu/tdc/NSTB8LtWCqlcjEQcGUC0T4SYi1snBvAfde4sNCAeZNGFrm + Jkrwisv3ofzJe5aX1/J8DJQ186to5hfW33HiJKCPTxik6L/SMGvrWPS4gJ/knzjB31dTCzAaOuwi + m2CQWKDVwSU4FumiOXnqrocKEnROV7V8yZw4fuGNfuCYxeUKCmulAZ5lIuN7uv/Zzg7AAyw7Ir4x + aSpYLFZQahdlN2ZpefXuixdfcTanR0jfNnMFsK02YMjKW48dWzEjfH+JXH9xYd4sr5bZLca4rgKo + AL9fTU3/hNTK9xAu1qHVXMQ6FIGKLRRm/d52+3husltZeegVx4+fnffcqVlYqBG44q9Q4HqzimJb + KG+UujcBQvVGGVRQClzhc+BhMoRKtWZOnDxFinvuLcI88royV4Bq9ax9bG3ttXNzdTMeDzDntjl1 + V8NY7Ah36qME+mCHEEzJHRRwB8PB1PR2Y16TFhbrxgUowp+2FpfOHMtLcCdOXvq5aq2SQr2tZsc0 + 6uU073enEcpbwgLU8POKYUKUtpA+i6xAp7VikqjOc1STNUrflWr1r1QqZAs5XZkrQKd95vz6+npF + QFgYOKypdnPJTIYogMMu4rXQs5Don9AAIZbMaDw1u9tJuqtKpZaZABbV6i1zbO38/bnIzSqbteMr + l+PEI8cvmiIpqe8HptmomdAvYrkiQxErtWhyBwKBMFooQmDqtXme00aJI2ueoLBab7yx0xFcnM+V + uQIsLJy8AqQPSBJhEhv4ewK8MouO0Er49jLCKhEREhYqkMYClFCEghkPE7O3AzZgk1ePXIRZMaur + py7mIbYyKd362vHLE4pWC0tzZuo6KG3JdPe5YVa62x2YAVZrPPLSOKZSFazNt+wQxa1i+XyzvzcG + 9Gqb1ZXji4vL6/fk8Rx6z4wVwCKSX72wCADS7fZNo1k3Mb5TLjBJo2Yb86ibkrRKaUBogQiWMBcu + qfXOZsjXbDOe+MQEiqpXTqe2NWPpNZurhme44DgTq9Wpm4Wlmhn0Y/OF//0XYBpO6grq9TnuqkL8 + gkogZT2jXbAIBOsoRWj29iakiXUqnJdQ5LU35hUHZKoAKuIsLi6erVQqlHydJBUMgI8C5kqlyL9Y + ghg8PQIPkHZyd3IFcVQmsLJND7NKTMVuwn+gGNVq665yCZgw42tp8SxKWTxRotqnHe2R6z/91J6p + lCkB48+LhToAEKwGHkLBrHAhKsIEicQMdR6q4KEwERauAji0RhC5/KAt2DOHK1MFgDBhlpeX1oul + IruB8E+yKCRE9fKTBdNslvCjVeRWNM4dIMhXrshOKhFYTcYO5jNMlUBxgGWVT9fr2cOp6+uX647j + tIrUKYplFJd/93YDYOo1XJusGeVgMhelgIGfkO0owFV6yJOBds6TNbSwIjeu7xE8dtgUq1fLOQWC + mSpAvXYMcsfqWgjpY2YS2fkEUbZVQGhsGCzBdAwbCDM6BvzxSAkLtkwmSoD/dNwxioFfVaqIMEvF + xmq1upz5vjl58tKKshXoXuxwUj7MfHdvyjOUUVLt9iIuiq91AbFIY0f4/BsbPs9k+B0L10ccMHGI + GRysRcOsrx+/u1qbywXazlQB5jonzPLK6rIQvyI7PpbflwCBgx0WNST1U7DnAgXL5OMlCA4LfN01 + jRZWohLzNaJrNzLeNDHlYhO+XfYKsLR0bNlFKetE/XJfwvunE3Z4utuV/9u4sgRrZVHQqmLtymZ/ + 20oLQdJW/Z4yHZfnw4pRFT1dWFw4fiVzTeYNM1WAhcVTCuwWAsD9Ej5/iuQqJMvC+j0CI5lIx4kQ + DjEAZBEJFIKoaUMNWztRMhfvPs7vh/xODQUQMLRUrjdWKShlebG48FRiotUqUTwMJoI+8RfmjINS + qgQcA2GHZDXCACqlGvENiw5LaOv2Hl8nLsAFwhEEPWyjJC51ghXcwLGHsnyK594rUwVot1fLMGNr + IUhfq00KyMrXqvU0WNIN+V4xjZBVA1DuHIVSAJnNggEFNsdPdMAAhmnK2D9AeSot5dWZBgHlUlM4 + xJxqlSlpBQl2SenK5WZas5A1s1HemKBvPBqb8QDzgHubXyia/f0+luJOEJuQ8oIMbW/vpgq9tLR8 + t5VDIJipAlRKrbaP+VbOX6VAIlhXvhJrCWtOu5/do1TalFMsPQBOjYiwQ58vWkoXZR08fk7wMEsQ + WUmj0cqUHdRsrVPNc9rKVBrtYpriHfQn/EscoztX0YKwNU7EbSiY3d1+Gu8IB0j42tbWgSlYDcz/ + BGX3TH94gPWLqAssXlCdIOsrUwWw7XpTbB8tarlYSMulk4lLVK8IcGb+E2vmFwn6ESDwL0KjFJSm + iZUKaRecADwrwVeFNKpgkQpiG5Q0ZnNVK3My280Y11StE4wGkemjANJbpbUy90XgazgC3GON1JXI + j9tLUN5avZHGAUX8PhhCqgAB9XCXTbGwOHdBbKisr2wVoFBr2vhEDzsZkSLZCClw2fWUSLXMSvki + kEEJrFCEGBKM+QD143W5aGPuEQ9EiyCwzIQizITA0S4sEANkl0PbdgsIu9RU3i6F9KaglCMFfSV0 + 2MasG8Ag8j4eQtXKXndELCA8oABw1cLCzVhPITGPSsWW1eFfmE5zS6eKJTjkGV/ZKgAhWxEt99k1 + oRQA4kREoEcLALtZ6RRFIJBBAUKVmqxCyM/BrCVy4h+EJXcwS7NwBsYhaEzMXLtYzA5LF4Q9HccN + lXMF916/FhEDBNyngCyJM6ZGsUj6ioWoqMIZkhrirvj5ekMmXpXCVD34nZifmyfjAQNpdOxSqXY6 + 4/VnDTK7tDtq1aJq+KxtEadYJhXUoioLkE+XKyjiQ222vECWEoiagikC//RnZBVUGyjyUauBtsEe + tq1qpgoQk+MT/NXm55aN55RRhgL3YVKQqoxFKMEOnFsQuglkDZahHX/QxYTxvxluwDMj80ZtZg2m + MIiU7VSpF8N3yLy2kZkCKMItlqo1GwhXprICglaB5SOmjHJiCWsKxm+BBokPEPM1QaoJAoxwEaGf + 0DSS8HuY2lRBqkTdDhpcApEjDMjsKnAP1ZoQvMGB4b7J53Fj4/GYxZ8hfZ0FXBr4wGQyRRHKpt+V + XYjT9E/Al3bAXGeO+EYNJGQ6MZEOG2J+fuFEZo9x540yUwDbrmLykkpABqD0rgrupVLq0nKTFI9d + AS6uyFo7mwiAnQPSB9buu0TUgEFJBDIAZxABJhZlVRYhNa+WVWkWi9nVAxKCvySxqor6+weeyrq8 + JhbAnyu91T0L1YwBtapVqpr0PQop9CGJ8HsoPbWBYAInsglm4GINKHYVa5bwAKqk8BtkH7K7MlUA + xw0qPoJiMVMFsImMW+0SLBrh5CBqU0HExAWY/QhmcBlv4cnPowwRTlf1gnpDFkDQcDFFBE1SrBcK + 8AczujDuur+K7sfDdAuvqHCjIdG84OEiwaoyHQFWzSYd7gaOAK9nSpDgPmAMEdwurdSxEBOUQktQ + woJ4QMKnjmVNEs1MAdiptEuNS2L7KoIWrEsTKObPTSP+wcA3/Z4KKCiCN0zLv/oZrEa6KWKRA0gV + a40CRSRSRoIxpU/CktI+gowUQCAPSlDRotZJ65T2Cw6OZw0NukUwAH4CiLsCT1AuT2CWlHo0gECC + gdOziCOgB1NMI+SzWm2qKnisIMAgwys7BQDcweQVNTGjxI4plojiyYWj2GOn2wRRgZmOEAYBnzgC + PqkeiC/fT+WEB5WpJZCi41atVgfdceJAr0a4EPJYgYwudfqwY3FlcmEsoq3WL1ku4Re4LvEZUNA6 + VU2xnuTyFODS7owC+Cy8wK6ZUosK32q1UyUvQHRBlX56FcAAfsiKazeII1cuK3ee5cJye9OJhYLQ + Gk4d3ULIY2hgylHSRgvSRtwnRSGi5+YsiAq8xJJ1QOhVxRfZXQpiK+UQFyVrpbgkAL9QcKq4RJx/ + KWqd+5QL465R7tnXAvJ9UeDFglIqWK3N2E/qh1TNo7vfb4sAk+WVmQWYgTV2EejmTpqnYImGKmcm + HN8tIsiQhQ/xow1KqBPMozIHfCpaowCKtnEsAF/Dz6pDSOYYn1nRzsvqUgxABa8UEphOyFpUDtYi + 656K4BcpssniyjKou6lCu5uwC6W+gZcGkHyPyqcsCLiPYiIFvAvzqzy7W51B4Vk9TbrHsnkzYFsW + ETgMV6DdPHN1oH8CeNgVMusx3zigyFNSwYWdJL9qF2QyabjAbEYUDsS/L5WURZT4F94gn6qkmtUl + pSsUbSyZRUwzTP3/OZpCpASiegm6Vl4f8mAu3AVlAiS/uIZCWv3U8yoemE4dAuCaGY6mcmMIgYzB + LtWVDmZ5ZWYBYqpfJimxR8qpnxRurrRP5lGmXMGU6NQTgJEKbVNKm8QQqUG3FmNIQtLPwcRmAbAa + Kg6xyxSR6feyulSmhts3U4DBKI1R7r1vjXJuC2UkJlFdA2VtUPP3PeX+IBUVnoAvu9405T0o8neA + spsogOYIqDSMbHjuWk0gV5ZXZgrAsvGQBVsL6csU8p/cghbcGYPzNwvsJsGkyg6SlFOHa0WQTdIt + sW5tkLcIIdEnCHkmiscoACmlCW2xibO6FOzFcbkkhE8uYOPatllZkzUioif484F+AywBIQG8vxFB + nnANHprHVQCpOoaGQ2hugNyZ6iLKYuQaK9VGNUtllswyUwDtHLkA+XGHOVlC/wqwZZROwapmN6Ag + wMIOMcH+7ogqmkOkj8MgsHJEu8bnupAtZCGXVzppJa6KO0iSAAuQ2WOk9xgFRRQZC8WuTVnAmPFm + qwyeX4Hjp55GPZ+obVN4AJBG8HxSd6GCUmowf17jysh+FACTBKIQKHu9VfmpTQOV5rBrbJeaf4Aj + DNkJ+s+FNaNqmbhyGPrUfx7QOycEsLujEirWIiyjJAlAi2SbkGJRPWMQQ7tTJiALSSqQYmaX4pWC + FYjIgItSla8IvXt1DTZwBfCnpUgfYgvPJFPvkypg2PlRCmBwGVy+IRRR8LZqoG2q2allgBNQAxFT + hpTlldnW0UPGoW1JAXxKex4LzOrxrzIBTDuZnHiCshTTsXLngtm5TZQN/k/Bh5/R3ADcB4IX5r6w + UEUJKggTmaWReDZiU5GHhbVlybTAumct9tIKTGa3R5MIPQvco1JEuYnbm1uorCaFELcwPkZxAM4i + dWmyEnNzHYkBxaeBtFzFPmSpzBm6AKU6UVCwFMkrhfIhTtr0+XlM0nCICcSFqGqnqOSLdQj8Emwa + 7Rh8ZhXeHbhAgMScqfiCsgBVAkLFFYmt9rGsLk3+CsOEuX+6jxmjGTwLBYb1OxnxMUQpZs+h/sCd + LY2JJW3F/IvsqixCMLbG4Yn13KYOEkZQiVMwq+KISZTlldm7ycdFUcFWOieTP0Qu8IJMQBFowqJq + 4ksDP5qW1Nkhgc9QpZ2J2dzE77PjbSL9Igs9GuEE2FmNujqGtAgJdfTsFKAOP5FcL/XZSu1UCFJO + P7dAdkO6etA/wC2wniiyGD77+1NiF8U5ISTWhRl7iPuXkigeSOsd/gGyERs6moojkOWVmQLI34H7 + WEK9FOgd9Gb0aUMErHnQacTflE/Hh6IYVlKn6bJtbl0nXyaSttCMAkrQhWGjlEp0MfEJ6CgiCMwO + B1DmwQccFaWm5PdYM4/gREygGko5wX3pdrTozXaFn7FQAr4PbiGEU1mPdruCPWU3dInzfFWUhqpn + ZEZpTSFDDchMARQwqRtIebKEN+jzwOS/WuzuXkjErAAKaBRTiSAw9QBAtIRdvzamqQL2EKZTdOsB + TSP6vlIt8QLswswcZyWzOJnynjgjKQCuStmJoGDtevX/D/sEuJSCFcQqIFQWs7c/wn0pLgAAInVU + bCCl1XAJNQ63O807KbA/VBk5yyszBRDSh9YD+kGTYvH294iGUQq5hgHU6d29IZM2ipj2OoJyMYfK + /cWeaZqnvnlA7d2i/YoAit+ZjKUcgl6LCXFDKH+c1RWGI7ke2AmKPxSxC+pFuQG5O50Ovt2FCk4x + iEYWXXMLTIaA2ra/F5v9Xg/3Jzqc0lthAoKLBYAxFItIsNc7GIQhqU6GV4YKgLyQiXa/4M/bt4c8 + tGhhYvZMzc0bu+yGGHOI+WekihovBI5YNiRSp0FrOOzbgwghL6WBYBpYqZ28XPIjdlZWl+9z3zbD + wKhMKdoX+1fTwJTCdtjJgoTFAGJ8AZG9oemD3oVWkVI3BJL+AMtFFsQalyiGKXZQ6ViBvxhQezv7 + /ShjBcgMQlNuLBKFXIHQsO6+uoARBIWf4ZAgoBiY7dsBAyEr+PWyoauKS4UgH2sQoyR02oCxg8GZ + gz2P0SqNdBdhCXxZi6wuD64CzstRKpua86jKfczIKQ0WvVppEuCK6dPkvun6WaTci8kXtK1ZRxb1 + DpW8lfrJKiobELbhEhnv7OweqDye5ZWZAoj4oB1fl5Qw2f3+lEXVEtfx7zUEVzR7W7ZZWhUmUEZo + LgIifwYHkLQG+FbHHZmd7T4WITQXX3kWvkCZucKJL1w9q8v1Ror2HeE1orHLr8uPu1MyE5hNsHtx + aSOCPXUQTbEKTAZTCoyym4SgkJhBn2vj6wPoOCEzYkby2Ox39/eT+Kc0BtDT8rywaDCTVL4mNFPe + 3IAQQpRcry2YpYXjaV6sPFuFnhBfqsmhKWkEgRUZDRP6dUwp6SCfP/vMhB3GPN7hhAab7CyAjwIA + 6jqaAibGsnoA5ceFVIrOJZaQKn3q/4euBmQtyFfUNqWOdELJCsjkYwxdV0MlQzCFxGiaOE0ie3Il + WV6ZxQBqosQFpBBqSgPHnF97pg+rVpz62a7Y2zsgWPLoAVxKWUJ9aLeCTT2Il0IO44hGy+JqiiOM + BqotSEFcX2TMrK4gGFEAuj5ttVukcOrwcTDfIngInyATYOqJ6hwT8ApF+up/1MBrsYLS3c+zohmp + FVDuP+M7GlLFLt8PdvS9LK/MFEC7Ay5covZukSokME0Ft3ANGp3S18QMcv+dLWBg1rMCea6kLmBc + ooihglsFDwtiTRspIJOOIVWCr3uimWV1KQ30fK8/pUn19BnBuMI0RiiqmD5E/fMKCIVmQvNerPCz + moGEEoP6uY4qfzNl12sNyJJiKJsQABSE082snuO598lMAZ4jfYzgzysgTClVRNESmpxDrztmxzSZ + BhajDOwY5dkqAbPo4gwMiBnUbq2fF5IIlY5giwHMiToG2GUZXZoH6AfucDDqmZOnW4l6AaUAui9F + 9WlVE1OgPgfFBur9U8TvUctQ67syG0HdqoFoIqqGXRhcQ9r7EI6xANle2SmAHB87Qx2xgkjhzKa7 + ewAApFYxHzOeDotAKQZDwBSE6TMkQrt9TAOIzHyIOdVgoXS0LHm3h+/HjPrZgicsmJUMRrR+Qwq1 + 5snzdW/DvkAeKYFF4DfHAkcUs1ThA+UDqXaIeZJYPAYUm9xPlkCNIVLyAlNRRY6JwvFetsuvIDyz + S75Nu5qhCWybAp2zmgCysz3Ah6rODzyKoASjyldCjkAB1F2jbiGqbeTTNYYsiEiRln8JKj1XfYPJ + KIqQfIYXYE3v4EC9/glVSYZcgvtvb05AM2Xm6fphJrB6Am9uwG5ScwhSFo1dGY8o4QT9ljIAAV3q + hQh4fsfx4yAEKMj4ylABWH49NR8guOTzBEss9ATINwhKRMQzEsXqWhUFEYcOrgCcOu3uArX083cX + qJ0T+EUOUzdKZAQ2rVkKIJNhFGcrN88d73rc8I2NrlllyKXim9FQEK9SQ7IX2t1UvtaHg5LqsRXI + qiJYxC8IQBIDWsUknSqyvz/ExU12o5CDBTK+MlMALb6iZBWFJKgqVRAFg/KFivDHFFHYBUwNLUMP + U9BH7Zx0yiIlPH1m2ZSqqgFAH3OGKA/Ai2OlBzEgzGEU9TIVm+8PdqhDJs88tZ2WqJl8SvYySDQt + VKedmZT8wTPwusviKqgVgUSLr9qAav9qBRP3QbGBBl+Ox87tKFZbebZXdgoA7EdGjBJA7SKKq9aV + P9NqzS6fYB4jeAE9JmzqdJBFSquq9GksXKEQmsv3MlWrT20dfoBH3l0ityZtTv0tRItBnGSrAEmy + s43nJj6xzS5ZS4MWsMkotBKKV1pkZiCaFnONSF7Z3RBHSe0V04jgWiW78WC2aMydiLJMSyITol0s + MltxnK0rk6plpgDC9iU0KYDjjCCAaFDUrK6uWXolXMKUaSEq7UsJEponKgjz0qWTAIeB+cqXPHYK + wqWQVGqocCJvooAwGMYZu4A42d6D2GKpNe3pb2KRMPnt9rLZBMquM8VCs43bc/h+YGvFCYIp1Neg + YFDuQvRxnYsMk4EiEsUiGlumbnA7YfZw1ldmCiC7D4EzPQM6BEIVfi4yhA5TUMCkSaFtTgVRXkxB + ECHJRBJkrSYpJ2Bzc4SZFeQLVRw0eX9P6RhqFTkoQHZQsBYoCregsMTTErMOZLluwFmoNxkSNSC+ + AefRkTHKaNIZCOU5s3kT1I+MpQnfQdW+tCQOIKQShsbFCtugunhLWVLWV2YKoJUnRmZnKxNQ778g + XZVDZ8GeAr/5+TZCZIAUJAmSIiqDFTO3aLPLHGrqBEoETBIqhsHsdScphh6F02E6qz9DyaWAnQk3 + NcxCJJVrzw5QYLGV6GDk+fSsMvsFUpoyres7wDsVGhpCkD91DKsi2mVW8M0bB1QAR2Zrs5sAaD2b + 4SN8+60yVADNw5k1UsYIQEWPKq3SQvGEBM6GKxWghGuIsmrkIlrWzYizortddo3IIxSGRBhxHWYM + uq4VE3AFwZCfUIqZ3aVgDUxzU+wd1TI0/n17Sy1edhqYVqsdqGGzzOT2rQEpYj+NVxILsAsLgWFD + wXWgFGnwzhALsmk5k71nsnuCv3ynDBXAw/+p0CE4VI2VLkUgJoAoR0IxtGNULu7uCfQRQbSQQqm3 + rkekSMwNYjdVIIeW0RQmq2H+NVBKLNu9bCNAniCJBUCNb2uCqdrZdBzc7VtjnolwDIUAABJtSURB + VEVj7SGstpbBL6YEfSjwMIb/4KQZzjJDIeTu9JycKE6TSyvtFzzoaRjW1o2/XJbsPstMAXS4gk4H + FTVabBgJqDNfx99jBUjvxtSGIfiQFpHfs6SdOQRELLC9yVBoI1YNPhUl6MzBFt7G5yNsqoDUFja7 + 2Ylr9k7EMiife0vdSYK1VRImjeWkc5pbyeSkvErxFN9wPA5EV/k0nhwIeI6BkeI3aNaxsI6EcwbE + KAqCjcxRQD1NhgrAPJ8C0B79fCUCQHXRtiBNNuij1wlbIk+ok9ZHGD1EMYdySGj7uyCBIGhi4CiC + bsCz29vhYGnaymgw6TnutsxKxpcWc3xNCpCikvAcrKTKvXrpySbivVTRXrW5yb2pFKxW+NFQza6C + hTFxpH/yXKFGx0TFXhxBfMzhyk4BMJvGwg3Q7StMX8GS/LyOhtVsQFkBNXyoK1jj1UWp0tQQMWlE + oUpAzRRo0V7Ga1xGmluZHT/czUFs3E/S+5YOutRZh2UK/klSsXyvRCHLR0HdlCAqJFDuLD31hBqG + qp+btzxcAoMl8Xmakq7JqTCcb8Uxfi2HKzsFIAOoVOnupSc+5cERB6ADIlgiPFX5tFtEkgAcAhgq + cQbfAcGfmMDCDhoMVq5ArZZ1ECNIQSSz+HbDMB/Bed7ta8rbYyhcskza5bJeYw6N3tnSswrl1Bib + WS1Dk9E0LmYyUoscCZ8qmDy/mkmTKCAFBAvP4cpMARQAttqMTaE2Ls3XFE3iuHToUzoxnBRJxSEN + fvAwnS4DI0J6AhUcClLVWBmNh9mlWSRtNSdTiCJ/J2sM4Lk1iqKtzQjkLkoAr3BpYvfI5CsrUPPr + PsMjawy0Eu4vC6fWOHlcFbZ0ckoR8xekkyOUHscgBWhDDld2CsBEsGarmjBcIQ2cpADaITUCJgVL + yo094JU2TBtFyZrBF9M1JAUQz15zhPSxv6s2KrVeiVDpbGeNATy3RlG0OQ2CyTAEhFIFU8QP0cOU + FYSAQ9tbk/R+tdsjLIX4kKJ+sf7EC2onJ/CTAiCXOHY2nvu7Wf+bmQIgIi22JV/PrkBMKoTM4FH5 + US20DlzszNMOTlGo26VMStQsoRb4voSsfFuFFQk1xAWEsQgUvMjhks9GAa6Lxq1drZhGLk0wr81E + tH7fhcYep6eDiL+QKjhFL1kEnZii/T4DxxiQEd9+OodHSN8yMwWIYfkWiyRQUGAgU1IPVy1cwRz3 + AQ4wq5AFCIzgj9Ert28fYFZn2ICmaxYYC6PTRJT+SdiCVMOwh+/M50qSCQrgbgTi8Wtb83+CNLS4 + Cvp0cIRiAQqXfH0230glbMUCqorKyiXqfRCYFd7YyOcpskwD2bGzMepIA18o1EygiISmGXkykSP4 + 9E2ifzFsxqqvp8oRESfUUshYkzUlPNGsFDSGYf+ZvAQnWJvS74aOt1fwmp4CooIXn8tClQotnkdu + QYGuiCxgB8oPuXTmoeYi01JGLUOKfP12Xs+RmQVQpG/TFSEqjJojlAloeIJkopPCRZYQ70/zA1UK + Fk9OplX8+ypnBMhFODIJ/K5GzSdohx/sXctLcKipdu+GDrTSPaqZRdD0cwpQ5TQTsX3EX5z5f00W + VZOLagWksoBHmn4WgSaG0Y3M0czn5JapAoQBU7XkL3lo9cupzBuhGMqn0xFxFIISWEJafJ0gKgXQ + ICUJ1dVsfZ0LQPqYHrJojSLXu5YPCHBHeqAWz8QhqKR2PNU/UcTTdA+pigYm2rcym3JRkC+ZAp+P + J6SORACKb2BEEROR+EY3iQbzuTJTANluzxukxZC0/YmJGWkxB3Ov9E4NFeLNbd6MzNqxNdJBVh1R + NVs1mUrGx/URKBohDBDp2sXJZhDcwi7kd4VB7xl3OsCicQYSPY2zecECubTrhVzOqG23b/X4nnoI + YAujABGuQnGgFNq2ws04oZ6V05WdAqD1kDhjBCMHkO5u+UTtEvnJBgogcKTH+bs6Q1HVMpemACGF + WnRh7vpQKoUZQEGSjSjOzXKmy5XEvetaaLWmCZgsM+fmuU4hlbkJXFJTr+Nt1AmcpoQ8r4AjzbXR + QGnG4m4pQ8rrylABeExs4KwiqNBPlG+xYUWp1tAFIGE49z3SP6GAAk7GdA3LZ4pYKbOvRgopAGkE + uy65ZmjSyPMKwr2RbcfDKXzwtAEEBZgNj9La31EAnlEKoKB1prpSAJ0uokYZBbMuAeAsOMzjWTJV + ALppQnYuu95PF1G58XhGiGSBCQ7ZGVMwgAmncKinTiyaXm+IkqiXoJryB5Q2iFoe+BMyAIk0vysI + YHqY6JqHBRDRJc3x2eEKWMUIEvHFJdPRcyk2kF2T1RMFXpdob3E8upm+yOn/MlUAZ7rPUD/RwMXw + JV9ml6tZUqZR0GiJWQEuSE8fy16mbiDUUMJU/5xKyDpmXosuBXKc/Sdyktm33zZMC1HhNVHBsUso + JaAO7kzlYa23Mh31DQoHEHNICpDWPFAIyCR8Vxagd/3bfzCHTzJVgMlk361wBgCnRMGgVVqoyFjm + ftY8oelZsgBjRsfPDpNuoxgcEyfwhMAJ808eSWsFCjAeX/9aDvL6jrcEwpUyUhTClAFmpeQQNXvw + THgtnotT0mH/amR8BfaT4gORYeQAwTqsYlF9kNsb3/FHM36RqQJMnZ0x8/KBzDQcQsDQbHSqijuC + eIUFaALYFLakXnPGEIt/x1ymqFlo0SRiQcZIRqOvX8tYVi/wdhC/A+fZ1MKjADMTr7IvtG+GQRW4 + f43DUTCr6qACXs0IlMULAZA08zgIt352XIDnbe+j9bjLEXVwBiSx4Jr0rRFqbA5SwVa64IqYh8QG + mv2jaVpKp8QFkPsATUeQ7s3J9Mnccufna4LrHjyl+UBEAKkbSw+5ot9P7W6a/C2gSIdly5XJL9zZ + /bxQ4YjZh+HW9vP/XtafZ2oB/KC7bSwqImaMAjBti/80QlaZgHynqoKK8nUa55A5u+lIuakCpZgA + UCCQBirQaeMNHwuDXBhU37M+k+ntp5XaCukUcOVRFp5yzzrRTAhhu9OmOWQXawbiWdVx83yCFqSD + MAz8x2g3H0LDnSfJVAFg8G6MJ7dB/jx2xpSFBBVjZ6RnBBMdS4AK/EowbKQYs+EJgobpnuGG/UA7 + ZiSh/XmSUHQ/BJczefamcH5V9orEMFVAjIheR1U2NUFUo2U1CMuFDaSmF/2MYGwFgOCb0zhm9k2O + V6YKAItncDDYSMoMVaahgxyfbID4SeNjtfO1yAJ+9J/OBlBpddZNXEVo2l2qwA1Rgie/kKPMvuOt + XecZAEB3UzWOFAl0GVoEbM2RNlgxzhIk5Wu3YQMTFWqYZAmFUAlZo2bCaLQld5jnlakC6EFRgM9X + a6qaqTpG5yzmXbN2VCDCZSIwoX4qmRI0iU1DxK9zg6UAshrqnwvCxx/LU2jPf+8wvIULGzxjUddI + P0xkra7NQCtNFFtYbJhTd7VRbB16iYUA5SxR8LJsVQGHm0kO/YDPv//sFaD37G+UyqJIiQeIGWTn + pOieFIC70cjUWc1cOLpq5gquyKlRBrgEKMTkRhB+K/s22udL7fmfk9FMxr2nY/7VHOEFzge4eLlB + KztNoP6Ie4/MsXUdiKnBlqSGBQ9FF09QfMcpjCa5gvyuzBVgNLz1WXaGZ7NjKA/z5MT1lIXVC6j0 + SK/TyF8BAZ/rYMbU//N9ogXM5t7/9INDkAHqVu9ck/Hu41JOTQkN7gyrqDPMQlT20aibHoRNqkhm + gAJj/kVjC8Mxzz2lDiAZ5HdlrgDetMuAnZ1PB/hABUM2qZFYNDPhSBCyBHIDWAJeaZqIRDQbBsmx + cu7v/QelUIfpmk6u/4VOPnfJbCYMjxr0hf9zhyj0eNIn6GWwNUimwCzNBUqnnBuym8hFAfK9MlcA + aXyvu/GfI6J50apKZc0N0EAI0aZwAZoTw8qroULzAsu0kMt0KiiUywjDJ57OV2Tf++6u+8Tjnujf + SY0YhnnBnH9AcRMl1sgYSCDUrFQBTM9MoKStuCbk/ODAH9z63r+W7VdyUADNxHv0c8zD6VEIIT9W + /qxhCxqVphhAR8Gpa5h9j5tgoAavdbCkhAZ7VnPZDtnl+0/vEPkDV8hdNeD+i+4OrsF0MCbK8hqN + Ti0dBS6Y0DoyJ+aQiDDo/2wqgOdvxZ7X+4Tvj0kChJgJHMFnKgZAJdUx7Iv2hThLACdC0cK04OL9 + UcoKOmQKEMc7iee517XLhQn2ejSGEO03GBqpgNanD1BmTc8pBdcrgWFsgtvppzn+Xy4WQM5x4jz+ + a2HkMDmUhQby1ai4dHw65l6MGWHoYgDPGkV8xnML+HH/IO+8+YXXiqKO7z6hgpB4/jpJTI2uzWaD + BedUFOhf0m0Ft6kCSANsLEDYVz051ysnBcCk+48+RVfPE8z6k4VnlwMIpdaArIAtLxAIKVH905nC + HLgQTr4VxptfOCwI4HevGmXdR113CI+hlHIZHHoeFMhWCWLl2sQDEONJdHi5NpgQA6xg9kOBvuvG + c1MA8eDCaPBRhkIDognwQUAy+iJS8FolVMyncCK4gmPL92/92mj08e+6/cPz0nFvfGXi9Kj6FU2L + I+0UB8jsV8D/1fsgV6dDowV6GdJAAuDrfpDteLsXklZuCqCbmTiPfZQxcOwP0aln83VUC5DfFAGU + HjpbncH419hxP/FfPO/RF3qGQ/E113v2G5yKiFmfAggx94dqIDYgTWml4Er9jK3CFmgmGkHT+I0o + zB/PylUBRuNH+pY1/RR7HAXQIEWUADmlSCDIoNrHfA1TCNzPh+EXaQo8xJfdv858Q0jemmM46wRS + P0AKdbPzBQVr5qFiGEHgKP011UPyvnJVAFqiMPWbv24sCiPgAjpomVVPYwEJRuNjBBJF0eB34jj3 + gPkHrhVTzoK5ucoT6nkghtWBUkm5UE0C8sCAKmYZJlSJwDBOxjxPH6PgPSk8IO8rVwVQNjAa/69H + jDV4lsoYC++n7WLpkXBqI1dGkIIm33wkb0H9sPf3/Q12ufdlppal4A/nGFkcas3QCNUKiHcCzRBS + N/AYJehzepr7JCr+w/7sS/79nBWAbGDK+lsH/9G2HAKnASNT4qRM3VRHxqhghHuI/OCxXFkzP8oq + uO5TKO/+l3VUnLiCGneTIpcEMVOOgxHsnbo6pX/RLlnO4PEf5e++1D+TuwIEwVMYgq2PWgnsembD + KD4i88N0kiGhFImZ9ILg6/lvlR+yEjHzgkajm1+aX6D3v+IzDEOipeQN989hIBbawIbn2Dubo2HC + 3T3P7eaOAeiRclcAmcFu77/1A2/wMQlJw5M5idPSyeDFkksU0N+I4kMH/7+gOuzs/vnX251g0uow + CLM4I4CoqKlAUMQQ8h4GQu7xxMP/47qZDzd7wXs+BAqAG3C+CAn0jz8MIJCIICoi5cpqB0AlhAG8 + /3gc59oD+oKCe6Evdrt/FvnR1hcKxQEHYOyjBMw6AvrVSJwSKCCVACsIdjFxoy9NncPBaTwUCiBh + Tr3/uulMhp/UiPgiuWCnQ1ewLd799p8pWHw5XHF402xtf+NPiyWOkEloGoX1U9OBEdDeNeVMk1L9 + oAdMPP2cA2h0GK5DowCUe0yv/5v/eDqeggCoKogHDUeJ599AAV4uV8zY1y9/vlAcEgMAA1PJrDIj + mCoAjCYxnDTg+gDbP/qSTg45DNchUgA8pPdbt8EFP69OIY2XLxQj13GezL0F7P9noYa9R78+ONjY + WVqqcuw9xBYaQ8V1m0KAAQsgHBj/4WjM8YCH5DpUCgDtkyHLf/JPBQ57nBPLHL4vj0dfA1Z5+VzO + 5JlkcHDt02trHTgBsJnx/XaJohYk2N3dDQsS6G8edG8emgc6ZAqACoT//mvjoffYCEp1HDr/I/BJ + E19WF4Mid5785Hi8n47CpR2eg84Cgj+hnZOvT8bdLwICHZonOnQKkJhnGRDV+3fudApaNv6jJOcZ + AC9mpba2vvrIzs6tccoELkYkN+6so8kff2Q0OFwp7aFTAAncdf/wd11n+Jle99FvvpgFyPt3xuNr + weatG38sGFi9DqCATDXvPu1Mtv57HOVfAn6+fA6lAkzd3whHw513bW/97vPv9eXzOQHs9etP/dZo + qPlBtDxBeRqPb/2znd1PHI7Q/+UgyWr19S+H2/y+99io/7z9zr/+ePfVD2wkK3OfesS2O9/3Z4++ + 8VMpAcu8+oFHfv3+y0+ElcKbFg/rIwqgPrpeIgkk8eJXy4XTX9rq/quvvERvcfRnD7MENOpuffmf + HOZbPLq3IwkcSeBIAkcSOJLAkQSOJHAkgSMJHEngSAJHEvjZksD/A3Scq/ixyhWdAAAAAElFTkSu + QmCC + + + \ No newline at end of file diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/xml_file/player.h --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/TaskManager/Test/test_render/xml_file/player.h Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,5 @@ +#define PLAYER scene_graph +#define PLAYER_L scene_graph->next +#define PLAYER_LL scene_graph->next->next +#define PLAYER_R scene_graph->next->next->next +#define PLAYER_RR scene_graph->next->next->next->next diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/Test/test_render/xml_file/player.xml --- a/TaskManager/Test/test_render/xml_file/player.xml Thu Jun 04 00:03:49 2009 +0900 +++ b/TaskManager/Test/test_render/xml_file/player.xml Thu Jun 04 00:06:37 2009 +0900 @@ -1,5047 +1,1487 @@ - + - -227.432511 293.664585 -192.702660 - 235.318160 294.080875 -192.770592 - 235.318160 294.080875 187.943626 - 235.318160 294.080875 187.943626 - -227.432511 293.664585 188.011543 - -227.432511 293.664585 -192.702660 + -42.338106 -42.357772 0.000000 + 42.416807 -42.357799 0.000000 + 42.416841 42.397145 0.000000 + 42.416841 42.397145 0.000000 + -42.338095 42.397145 0.000000 + -42.338106 -42.357772 0.000000 - 0.000900 -1.000000 0.000000 - 0.000900 -1.000000 0.000000 - 0.000900 -1.000000 0.000000 - 0.000900 -1.000000 0.000000 - 0.000900 -1.000000 0.000000 - 0.000900 -1.000000 0.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 - -0.016892 -30.731319 -35.638344 + 0.039362 0.019681 0.000000 - -0.002805 0.996740 - 0.989929 1.000198 - 0.986129 0.000350 - 0.986129 0.000350 - -0.003200 0.000515 - -0.002805 0.996740 + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 - - iVBORw0KGgoAAAANSUhEUgAAAQAAAAEACAIAAADTED8xAAAgAElEQVR4nMy8168kaXrm93s/Eybt - 8eWrq+344QyHM1yKWO1qtdJi5bCQKOhK/4MAXehWf4WgWwm6FQSJwgKUBK0gLrkkZ8gZTo9p383u - 6jKn6ri0EfGZVxcRWaZnpkne6UOi+1ScyDyZGa953ud5vpDvfe97l+ubTVePq8V7938XsWiHdif7 - Dy5Xh12sJ9XlqFoBwKaZrJo5WACUYQl4pASBDo1IhZlTObYbSOARw905J2PayIM1OIxhuWVzjl5O - qkvgzskHKTtr4i8/+0do953X/29AJBlJgKooRtVY0/5l85/SyOv+38xHj0UU+oeoGiDmsunGQOGa - LlbrZhZicbk67OKIV8d8fcIftyyW+5MHF6sTsJPqbNXsc3TAPY+rWK75xQosuj7Z++z06mt8e04p - vLNimSHhLIXBWqYeNRhD7TGC91QOETJoZlRQV4jgHeOSSUEInDdsAgcl//Cf/Yu7vDnj/oJ/8wQs - n5yF/Yn/Z6/wj69zp+YPP+O//9mWjz5m22KF63v+G/f2xlyL3HMgfPeAP7zPT96LJMEbNxEU43jl - FU72eLLmK5b/6h5/tuK/+5yuIGRCx6xmJEjEGByYhCgICKamGHGgTFrawOtz3gnMPf/RAfc8hxUX - ng35Hvm9tftv/pif/9Elp1vaQBsIHduOTcu2Yb1htUGb3WONbtHm1tGHx/PPrWn4/9OS17/6H3/4 - tT8ggQjVdcQSVugaC9Qk0A05A6iAwZZYD4ptIaOCekyJd2gkN0hB8RrmmHSKXOzS494bhycfuFNC - oBWKhmKLy6wWLDru3mb7kE8fUZdIibUst3QNTjBCFgDNiEE38CrpDcbv0TxEHIUhRzowBhGs4Dx1 - hVO2HVmwDpmwf4g/sV/dT/4pP/2MszPaDnGEjpQZf+fW/O5pIuTH2HMKJdesTrFrpnu4ffIFukUU - 6ylKEpgKY8kJDNZiPdZhlASSMJaigALjGVfWmtRB2BAa2s7vXf8v3+I/uIkV/rfPOGuxQtfxOzf4 - wTGvj/MmmP/pE/73+3zakJQ9zxtHHFZUjtdqDix7jntT/sdP+KsLFNRgBJTX9nntkDZyueAPrvPN - A95e86MV725YNoyEUYEzGMEw1A0DAloyctwpeLWAyDKxhgvld+e8VnJgsY5PRS35BvzPD+3/8GN+ - fp8mEBPEROwIgdTQdXQNsaVrCS2hI2wJLaElBkKgi6REk9BIq+SMKCljlQhRAUTxf/9YjgJCEDxY - MxxUxWWS4ISoqFCMIJM3iBKNabqaBDYhBUCI5IaYiQU6xliSkJWsAAZEQCCRFFUSZIMqXUPaooqZ - IfukjnBGtyI3pNm0PXlqIZ5jArKgvaBbo4HpiNJytmHZsjdiNmM2ZrMiB6zBKQgGjOItNhMi+S7F - I7aPsULpiBBAIBvEsAWbkcCmpU1MR9y7w53b5GO29X99+d++Nf2ITcJ4xGBKsqKVt3dPapzpY1fw - R/gZ1R6jPdycao/ckBXrsTWAKXAOm8EAiGDd0BcBceBRwXrqGmtSUOIWOnLC1N+c8+0DvjbLTeL+ - lsoyMnz7hK/OuV5z6NNZ4P0Vi4gqteOk4tqIwjC2TA1HFb9zhBU2icKgQwgz89Q1a1gH9hxa8G5L - grkjBFyiNhhAUEEzZARUUcuo4PWC35vynTHfmvDWGDXcq3m1ojI4YSM06BQ5i/avHvH4ipgggyo5 - owqJnMiRnMgJzWhEI5pJiaikRMqQiQkSUSETFRKaiTo8+pYefvND8288juIzLkOChNMhrzQTEjHR - KN/8L/jt/xw3ZhtRdSEWZHAGPyIp0pAiSXAesUTIilFU+msLhhgxihZoGg5mxUZSws7gGrSkB+QW - 3ZIyy+Nrt/lgtcA77Ip4QYxkg6nxFfMJD1aEzO/e5pMFiyU54QxRSIoRFHKFEXRNqCh+RmwRwKEZ - owhEg9eh7mrBVvHCjRpzwNExp2Ba2u3/Mvn333/nNusPkBVuTs7EgBvLhPOMjiCOCGtai3FUE7Sk - OqToyI7S4Rw2oRbn0EgMGIuUFBXOkSMiiEWEukAcrizGYjJtEJVE0xG4fn3+u9e5N6JJ5pdXrFvu - lLw159YUozilzfLTBR8vWCVqw5Hj9phC2bQc13xnj5nnVq0/upAuUVi6TBYqy2TOxjDLrBNvTXmU - iR2vlLhIJSTBCqpoQsxw3fq6UXhuFHy75FYBilo2gSTcq3CCARVaqNAD5P+84v1TLtfETI9N0UxO - pBf+26fBs581D0miGc24TFJQRHEZ2WFpUSSD/G2VXv+WcwREUQWhELZ5ON0qSbn/l5hIaPqy4XZP - cOQ+lSOaMBYpyAIRVVRQAUEsxiAJU8IICeQGMUgiZUyFnSGR2JI94gkdaYz1H+RTzn9EbqjHGAMZ - caSIi1jHfo14oufskm2LkQFclYbcYY/oruOWEJlBXGMNrsAompmUjBzrjhWkMfMDSo921Acc1Zxf - 8tkVdo46rq/fX9zj9JK8xY7IBWFBUErXma7V4nbFGXsXVwuCxRSUM/YyJnD5GOOxFU6hggqJpC0J - zIhRhbGkPHz7RnCO4KlrqU1qyB1KICrZUVb3JtyZIJlfLvhsiTfc3eO3DlkmuoAX3r1yf/yIq4yB - PcPdfY7nXKywlu/v89YUI2yS/OyKLEODBPYmFAUhYSxfOeS1mg82bDIjw+dbNHNQ0DnaPhhkCL9s - cBMOS96y3K5B2SZy5jxyveDQEQIjTxJadJ/cYH94yv1zQgcJVXb1XoeI70NclZQwgjFkiBkyOZMz - SUmK9H8e+mLNLqT1b4t+wAnxS08I/Wm7bPEM54vBZk5/2GcDWGJ/lkLqO3jfswRnsRWJAaKpIGYo - bygquAluTFoQBEkQEUPxCtxBn2IipiYsUcEVTD5j8T5xg3Vs1ziP6+FyIkecx3pS5t2HYCgtAXpw - 0Vq0RS7gOuUezQJJw+evHF7RzLqly9iSqmI84WiOgScVxSGhY1VQXaPwcMqDK0JCA6aGGu0Ia4pE - VY1d8eqMZSAIWEewfl5Pa84dbD9FtniPLxChOIRAPMcV1FPGI1QJCpnU1zCLOArrRiZ1pJCwSrcl - gvPFaFSXLBv+xrNqWbbcHnFnzCZzFdj3XEb+5QN+eYlmZgW3Zty9Rtyy7PjOAV+bY4SjIr+/MqtA - yqiSlUlJXRICFIjw+xMed3za8A9mkDnfcmzxFWtIHTlRghHUIJ6i5NBz21Ib1pF1whmM5VqB6ycE - y4XgkUPsTzbm50843xAzWUEzqQ/99FITSBkixmNAN0hC04Ci+wTISnoGeJ6t3QDwJUuF+HdIEiAK - qmBxgs1D1jkhuCE9HDRivOsGIKsZ2ZIi3uDHSIkEckIMxmIsYpFI3uBLZEyK5BY6ciArpkD20APM - GLEQiSukoBT0EdrhHViykuIw66gSQZTK0wijKRPBDclJhNhRvE51F/8Jm1+SVyCIYeTwSptZRToF - S1lRWJo13Yqq4KDi4ilPIu4uFyUPz1lfkko4ggJ1ZEtOO+w+vuMpM6vEKi1Iwmx6Muabc8ZAWmCh - GqOCrag8LiOO2RH718mO2E/5fY3wOI+x1JUomjNW0Q6jOIv118bcLhFBEk86nOe3j6jhrOMq0WZ+ - ds7PLwkZlLs1b13nyNOuKSzf2ePA4wxjZx62BKWBqIjhYMS2Y9PQJLpMVD5ec9Oy57mfmDn2ShpH - EjQRlawIGIMvGRluCgclCstEpywyjTLzlMKeJ8FKmJAb5McX3D+jDWifAGlX73vQ348BRDSjsFmw - uSR2ZH2Of/rQTzv847TnoIaQKHbN4QuPfjzgS3PjWRI5HZqA9ucLssuZfrz2A/EFuBALxJANmtAO - ASpkBkCDUUyFtahFAwTsjOImGNrHmA1ksOSCYh9VeEBeIFvSEgmIkNfkgAEjIGRIiomoI2VMpI0A - bsrlEwSMI7eogsHcoftdzH3cZnjHrqKEaGgmFI6ZISbaNesOZ2gjpwHr8Ue4mktL1zLqGK9oN9hj - /CHdCt0gkdhRlPhD8d+461AlO1ie4c039rk34vGadTpDM9R4T8qUhTGjXLTIVOb7Esli0AmS0YAI - 1mMqysI7YoA+AXJGCqxFiyPHtYrXZwgsIscjSseTjgtlLuw5/vyUj9asI3cnvHHEkaXd0ERuT/nW - PiJYZR3zo61ZR7aRCIcjorBYQMl1x2uez1asWt6c8ARWykTYCFtDlck1Ci5iFFtSeG4aXikpHE2i - NJSW91tKw1yYW0rDE2GG1ug7rfnRY54sibEv/4pmUh7Kf9zV/ggpERPaMyV5mAH6h8kkCOBA+j4A - ngGT/dryL+BhMqHp2ATcb+4AfaoMBV2RnhF6uWm89CfEhVhgLCg5DNEsJUBeogFRBIpjUiaeop7i - JoxIT8lbNIHDlLgx5gA3QxY0j8lbtEWUrMTNwEP18E6gB45JibkHyNRjfIkWuBlxgVEsdIH0AzFo - PcJdQx5SZEYFqYCK2T6loV3QRbREMrnDW4xl0yEtK0XXeBgXNCsMWINRqoLGEy7RBnNH5t/9/piU - uDbix2tonjI6tMKp8CMDq0tMQVGgSjkWd5R9QHx1tJ83dNtspJKCtG1IEWcxFi/liBTRLmIgK1Ji - nBHJmact5x2LjqQIVJa3F6hhWvJajSrbxGnLQcXr1ziZooFVQ3L81h7XK7LysOXjrXm0ZRGJirdU - nosV2XI0Y2YpEovEWyO+OuGxYCOrRKywDuMoBBJhizGYgkPL1yquF2BwmX3PaaBV3qzZMxihEYzR - vrb966e884jVChK5j7CswwyQdzmQExqfD8HsBoOsiGLzwNVkJeowX9e78v+bVla8DMXbfekErIJL - nBywWLHthmAbhgH5dQ1EdzOAABnAlPgDYodJJJA+JQTTkSNughuRI6mFDIIVzAQOsSPiJXpK2EJA - 45A8CklQQ+pbzu6N5kQSoh1Iq+4RWXDXsLBXc3VFff37tfnY8jRDPiclsieUFGMmY2zL8pKrFSFT - j6kqYsYIhSd2NI9JDWGLmREOBro7L4lXpITxdIGciWttcq7MpGYZeNqsyFsmh53h3TUs34VEUVNN - UU9Ra45sWsqyiqwz1htjCKsNeY0xiMM6O/Y5E0M24gSSZgRBRBFhXvLalEnJuwv2PAJnEVvwzTEF - /HLBpw1HU26OuTmlgEcNDzoOCr49ozL6oJV3FowcTwLr3ZC5TWwTowlekMDeiGnJKzXzkrevcJFp - zcpjhaSMIEUQfEkh3DAcWUTIGTG8v+bzllsVd/1AwkWYoRPkvc785CGPzwlpx4rHF6bevvbniKaB - 7swJEsRh/O2bQAtZ8BlVOuiUScWs5nxB7H59ZPcwJsBmjWPHO+sQRS+unjBUw8WCLpMMBTjFGTDU - wlYAouJ2rWbUJ4AV6Gkjh5/iKmgGmt86XEnXoAsk4ickyBtMB/1EX+JOsCfElnRGWmN2iFBAzUsd - 59kbFoMaMohiLN5TXLJXIIZ4wO8c8P7H9pN/6x3HMpzinjIbUR5gPVhSICy4WtC0JEUMoSOBRshY - gynoInqGsUwPySPyJZrRFSkiHi0ZHxMiWlRzg9J4/nQD6XNGB0fT+a2KD65AM2VFNUVq1NNFRBlZ - MhuDc2wDabGk2yKCc1jLuJREiErSjO6UAeM8ocUpr054Y48E3nPiuIhU8MaUb07oIn9xToJJxd19 - Robllk9XrBPf2eOVMZssH6yw4AxPO6JSGNSSoSgRRSK/NeejNUeeTni44WngsMQYkrLJjAUi6xZX - Miq4ZrhZUBoCKDxt+XjLseeNiqgkcAZnGCMKP73k4ycst+RMZof+n3H/+oz3jAO3kRIxvwSBgu6A - UN8NlCCkRNiS81CF+3DvIbu+XLDdy5V+WD35ZgZ1ow+tLiIGJ6jSZIxgLVVGhahUEHfUUxS3bqbk - fuQ0+ApbEVZoS4qoIDVqYEOKuDn+EFFCMxQBzRQzuE2e4D8mnsGWDCkNZJvLL6QmGMgWK4jHWrzH - WazghMNvcXUOiaNjftQxfy2dnC23j5k4DvcYeQxsW56cs96w3ZADKhiHQMxUBUaoK8opF4FwSRcp - DmjmlGu8kqFd0TUUFeUYdx1Hbe5+Aybw50uWfIxEyje+W7NXsR95IkfY/mo1pBZNzOak5EbeG9Zt - ZrMltJDwI8RTOGEH/QFJvUJrwSpquF7wjT1U+OUFJyVHjrPI9YLfm2EzP75kU1MJNzO3x3jhrOPp - hhtTvjWjsPzsirOG2yN+csX9LVZwfewaaksKTGFkuOjYJh5FtoY3xxSWpEhioVjlogHBO0plZskQ - lBCJ8HDLgecbEwrLecfIoZYatfCpyg/PuH9GE8jSf74+pntms28FAeKOFOoPJlLazcuZ8YiUWa4G - +UiUQgktl8pWcOY5RNFfg1V21XMnSQXwwsGMVUMXQQcNuM8BerC06xVVHphQJ/hdH4iKz66LI8SS - O0yJmWAm0BANgHfYmpxIHcUe9dfQivgA3TFwdoS5a+I0uye0D0hLMphezviVfBUBiwHnsA5r8A5V - lgvipLp73Ewt63O6c+oMFRPFQyFox8NHXG3JHVkxJerQFxQTA3VBJYxmhDHhMbZldEL5LfIEeYRY - EEIAoRgxqohL0vh2zePIX1tCfoRzTG5896hSw9stjQFXEtasV1QHVJ6ZZ9FQCiXr88B6OQDfsgQP - BleoQu5eIB8MIs6QYa/gn9ziW0d8vsXCrYKk1IavTjjy/PSc/3fFawdI5q2KPeGq5ekWsbw14Wtz - LjvOWyrHu0v+7Ix1ZOoRQ9fz6BmFpx3/8pQfzInw0xV7JU3F3LHNXAZGBiJtoqwoLaUQYAOP4hAS - 3vBqydzxqKNJzEqmohXaon+5sD99yOWGFHoJOe9ErkQK5ESMwyic4/DopeasQ5JEpdugiZCHHOoL - KBDMS9X977hGmaUjOrIZgv5F94TtG4LiDMnQCT0G5Vn5Vxw4cciEakabMSWmxCqpAIftsCXiMJGY - UEOrcAWXhA4r6Bh/gJ1lf0b3CXoJipWB7hUzIO9kdoKNDG1BhQShpesIHaaE15rzB9hLmkBd0zSs - NlSWqDQbupblgk6xQlWiaehX0ovEmcozHjMdYSacB2iQiLszzbOlPKFbI5AvMUpxgitIC1KgfiXA - Z+0V1Yp5QTu7WY5ujfik5d0tmtZsL1EleWzNpCBsiIlyHM87NuuB4TE1UqBC5Z0jRgZFLBuswRhn - EMEom8jjjivwjqOSxx2d8tUZb+1x0fFe4isHZJjDTU8BnwdCZup5dUxlub/FGz7e8osrzjvGFmfp - eiScIOMsVx03K4zQZlT4vOWo440Rpx0GSuXjBu84LnGWmaEwLJSUuVfgMgcF85LLxFlALIXtSWs5 - TfZHp/zNOaFD++AZoM5uxo0vS78pkXWAQDENzoWsxDiwQGSCEsH1s2nfMH9zrA+I6OWDweCU8wsw - ePP8+LMh00G0g4abnj3d4PJzHS3ikBpKrEc8doZ6pIMMHlNjlK5DO8QhW+xDwgV+BGPsCG4gLeFD - 2gfDGNWzwmJeeq9ZMAwej14HQIkJAzlx8x9WYdR8+q/xHlewjYM55DLiMjaybkiKNaC0EUnDJ+mF - GGs43OdwD1PK8lCvnlC16Bw3WaaW9Bi7Gw/ylPomZsvmI4rxrJ7YCnJNUWC09qPXHQQuOzS1tFd0 - Wygpp+xVtB0bsMpiMQgI3mIqjAeLYGorwsCkWUdZwEB7iMHCquNnF3zljNcnrJVPAjcMr8/YK3l7 - y9bwZsUPL7lVcFyyanDCyDEpuTPi4ZaLjgctpw3nLfueDkLPMO3mVxEkc3vEZeKThm2mtLxR4ZSs - 3Ci46Ahwr2TPE5UI9xOl8mrBGCyMHFeRh4GsHHsQWhD45ZqfnXK1JGUUdpymQhzCPeZh6kuJFIc0 - eCYJ604AhucSWB+Fz9hJ+dUAf3mpvDTy9gFW7xhP9IvqWO/98JDtoC1oHzO9ipyGcpxxEEhX0OPy - DoAWStRjamQLkBt0jB8TPDLCjjGOdh9ToJ/QfI5RrBmsUYAqBkxvU+w/Wo+CelFDhhkkBszeP2D8 - Z92S1KKRccXIYDxdIkeANhH6CWnHf/XwyoKxuJL9KYf7dB2Narfh8Jx2TvedqjNN9RHdGqeEDWkf - 853ZuFykR4QJ8zeuj9iznNpCS1aXBOExrCLLCJsFcQsldcH+1FliMGiiSRDxGXE4N3BoQGGsoL2Z - Ua1zRWXpIORB0U4JEaaOInMaODPguTNi6vnFin91ylE5eMvemjEzNIoDVY48xnDZe8mUi0DlUaVL - CBgZfDEiCIwdDxtapVWMsF+wUd5es+/Zc3y64aTmoMYI+44nkOCNkj1DUkolGR40dMq1mnlBQh2s - MH/6lI+fsGkG2P/cL/NcAA4D8T+4IXZAKPan7RSA8IIK9vcye2ovlhnsbnjV53kzrL6Z9Li/Fwrs - LiuUwTCGPKdkeqkOHHlJaPA1rhhyVxTG2Grw94ujuEZ1Ay0wJaq0HdLhHH5Fc4omtMQIJpLY2VPk - mU3/ueyWQSxiBse8nzD57tzA1V8PBqScaQMmkB3esm3pApqxDBlsIIERZiMmU6qC0LFYYyAWhE+J - T9GvHzXmabkmP0G3rFfYEeV33pyUn7SwXjO9WZVHlwIF+7DZsgo52qfvXcFFwBp0C7m3BDi8z8S4 - JQZywPf1VskJ67AOFVO5aoRCQ2HBeUIgpZ32AMlwo+J7BxQVnyslfL3kH+yzSfxfj5lmbnt+fMlX - J9yrWAc2iY83PG2oGOzQ/auNLNdKLgOLFYs0KP3WYHuZES46jMUanGPq+aihMnxlxFmLN5yUFHCn - osmcJr5ZctcRM5JwlsvEReBmxTXfv2118NM1f/WQxxc7pk3zC6x/JkVSJEdIQ8THiAZypNt5P/u4 - z4rNBB0UkPAscl8eF798fbkJwu2COwk98yCCyUhPNyk2D+kUnueOg4g1mBItUHYWyxFujPYi7pTy - FukIOSUnQsAY5AiTSQ8J22G/S89kmd5ry8CBPpvZf+3y1/f8/h9d/A35nKIiZdqG7ZoIRYV1tFs0 - D13OyHOINarY36esaDa0MK2Jgeac5pQ0oTNPZ0/Ip3QtaYN2mG/cmpUPhZCfUgvEJi4YzWYJaWgB - eUS7QgK5I1fYGnEo+NpEkkCMxA4Xh/0uzqF+GEKsmR7gLc2aQjCZEJ4b2vthbFTwg2O+dczKcGSY - ZL5aM3b85QV7htcO+MUKJ9wZg3LZ8NGGz9Ycev75LV4d0WU6x8Tx9QkfbLhoafIgdPa1X2QwqovF - 9OjMcNZhLT+Y0SSWkeueynDoaROfBA48rzoMbBI5cQpr5ajkWtUT1CroBvvDCz4+pV2TQdGh8Of8 - fN5NO/ATdxxoTBiH6UeCnQ0u6fNQD+AVr4R+fv3SiP/CaOt79/uX4iWrICR54ZXzQEk/c0kAQ7gj - GM9oQp6zbbCGYoSZoYa8JXa4OeUeXYAF3RqjlL/3VlG9Fzc07yJ5sFH0m4uSDOa5vuonwYDT5ygI - MH0ievToMl3S3qdwJCFBszNBdGEwZtNfXotzOE8T0ERd4Rwhsolcv8Z8zpMzHj3C1FRv4DraD3AB - CZhM9cbB+FUiK/c5tsMmsOAOEzcK1lMeboEJAC04tMRUaCLBZNS1ma2SEyZie3O4I5XgcYZMcWTr - mm5Ds0b6usOA+4xFhMJwu+JGhRpUOLSclFwf87BjWvDdOfdbHnW8NaUyPNnw0Yazjtrxn93l949Z - B0Jm7jkq+dkVjxouEwGMwcrALQ9uFxl6juw2bhyVzBzbSGnwhqmjUz7cclxwr6Q0rOJwNTrY91z3 - YIiCIzv4YMtfP+HsaijiQ+gPICehcTC9kXf/TIMyUEwolWYDaTcw6C7mdIhsds6AL0H/z6PfDJXU - WRSKhCrNs+fuFACrqGBfSKnMDoD0jNPOGbp7Gw4criJX5IwKFMiUOEZWSMQ6/HW6Gf4p7SW6YXT7 - +7PKdhA+IV723P5g5AC8gjz/eL/p0xlQR75PA9JST2gDXR82PUncf5ugMshMpaOqqSpUqceosu0Q - g0ZWlyyeIgZ3dwCuboI5J3TkAxO+fTPyiYAkUhgYpFCMlHsjnsJfB2hanMGOaUoMaCJ2XJvTmaGN - 0iGZLEiBFMSSokAD+256iHF0PZOhiMEaNA80VWnZK7gxoh7hK3xAE187oIUEJwX3I+8vuFby+ogU - +MWC+1u2kX/nGv/kGk3i0RZruDviwxV/fsEq0iQUKovpr6YM81GfA7ZnuoUAJwUBTiPbloknWbJw - t+R2xcgSEyYzsXRKYbnuaYUr5VAYQcD+yTnvPWSzJiuKDuw+vdkhkHr0H8iBkAfzT0wkZXU5oMCe - bm8zKWP0eRQKf+89X04HcD+Q/b/W2sBzp5B8SWcBBk+1QxxSoQ3dFltSlaR9fEAb2oTfn+vNVmjC - OWmFq4jdD5dndI9ofjlcc7czdZid60jA7CS9BNECQ3bKszfXkh/RQVlRjClKYsu6IQgIUYfW3pc4 - 2zcBy6hEhKRst+SM85w+ZbsmBsoTojg389Vsu1kStxApbt0ueC/TVWfYCSmQG4rJ/siNPNkMkhrd - FSYheySPbfCZwznTOSucl6h9MwHjMTVaDtvBRvbwRArD5QI6SkdqwSAM0qQ1vZOeWcX3D+mEJ0te - m2CUkDguCJknDWPLt+a4zHtLPl6xVSrLH9yiMJxuSMqdiqcdf/iQi46QaSK156BkEYl5KPy91VCE - QnCO1vBKyaslF4mLSAOrTAXfrhk7tpAykplbKmGZUeEs80SZlAjJwS+3/MkjHjylC730qzvk00d5 - D4ECOZEitMN+tz5DYiCGAf+Qd9Z/HWxwPUCUZz3hN6/nwvAzFigPuipQ9RqI9tvOsRmR50OF2uH8 - l8L+Bcudo79QDnGkjjYhBplilLxFA6bEvXrD0diOfIlGxGEt4ee07yCK7F6D3RTWh/8zTNofH2hQ - sztn186wwyss22EsjnGwzcnOwipmoMBUyJ3GIVgAACAASURBVJkukpQUaVtiotuw2dA4uj22Hjt/ - xfTGjiskUBxNildGE7rqFGlIaTCmu71rnmPL18a8OkF7bU4qksFu0cBkOj4+IJvJkYnNhosFucDP - cVNCgVqSYNPk2JSG5RXtBVhshbODLbrfxYnBGGICw0b4bMVJwfGIdzd4MwjzheMHh9TCLxb8fAWe - qEwd68AmgHBnzEnFX5zTKLdqOiXC1DGyu2+IgXIToQCEjWLgdsXUkpXKc1xxt+bVkrHnXNmCh5Ew - 7Q0cDm/4oKODI6FEIuZHF3z0kNVquDID8Z8zccd+pvgC+5mHlJAIz8gfJewi3mVS3sH33b7Hv/sE - rPmFp6TnuWFl53rYuSd0F9+/Rk7e5cALyyEeY4gZr/g5aR+3IS8ICb1+rONNAZsn0CBCXKERyYiD - +BzSvei37i+KvnDkGUmRdjeU6JcVxJGBlk0idkMbGS6rDN+UMViDt8N19g6rUNBC129DcRgLa/Tz - D+Me+RLXkCaUb94BYynlpM1L0hl0+PHczY4rKs9l5FGAmNECW5ASBIpC9g+yhSY2eNoWC8UYUxIj - paErqAJzX5dsWppzCsEbJCI1VjF5MDp5qCZMSuoxny0hcm+Py8h+QRs5S1jhsMQbfnrJDy/IliOL - Cv/4mGs1qlSWm5W+vZAm88+v8d4ChMISlItuYJuHL9sgYA2V5V7NvODQ0SgZZpZjxybzqKOBbLhb - IEqEJpOVaLlMWMvdgik6gg+D/OSMx2fE2G/37Yn8nUQzaMC7/b6D5aFHrZmYBxm4f6Qd++n0ecDo - rhL/XbaA9TSoT0OmZ17qG7+qIn9BNPjCr6xBdZBCXL8xRoXYkUdwo7ayDS102GObjscVn3SKnpJb - ckQDoUENNg87Endhvvu/wfzqn9z93CMleeFXCiSMEgIw7KCjx0s6aMnODl5e4xlPqGo2G1YLjOdw - xnrD1RbdAnSCW2MXxA4tCPHxhJEhGehWxA05sP/Wv32T44q/uuSjpywydBcQcQUmU464da2s2a4R - 4+PZkrTFT/ATUpJyXBgoSHNfT9HEeokEyj2cx1X4Ck2EFU2DaSkmXDvk+zP2Mp+v+MoEDF0itKwD - JwURJo5PN/zonMuWmyMkc7PmKxMK4TJwUnIe5RdLbpQcFnzSsIoUZgBXSXcKu0ENArXj3pijchgG - guKEm55CcMLc4S21ocxcJESGLr6KbJXXSg5NLtEt9odXvHOfqxUxo6rDVsFnhT/tFICc0DDwnn0T - 0Aj9bzM5DXu+JA+h7/UF57N8Ofx5abm+JCohkxjMG/aZEPYriH9oAv3x/pw8nGafwaSBBTJoIrUU - N8tUbD3IJanEH86FqwDNZ6SLncXFIgkTdtLaTu16FtAvRr++MAoP78bsnrhrWBawaD+Z20Hw6jcS - GEEtVigKjEGFwlFYUuDqioslTohbtkpqqBK2JG/RNZuWFNCEvWi4YSG2C9IFYu30u//eEb93wl+c - 8ZPLiMh4bPEJmUm9p/mcvXpcmWRgqZqEbour3HgWI9aZuWBGhBGtoh2rDTZjZvg5owoRYiQGbD82 - 1xwc8tsHHCmfLLnhuF5zuuHtBQ9bbo34p9fYL7kI/MUZTxpOavY9I8fXZxSGDzdk5ahk0TF2nJQ8 - bAYnZu04KnjUkXfaoBFUKR3XS6zy6ZaTklFFl7ldsOc4C+w7DjxdZmxpMwlGhhW0iceRE8+hwyGK - ftDyZ4+5f0oIz3b97nDOMANEUjfQoOFZSkQkEnYOuR4CPSv//STQx+UzHkbkOXn/5euZeaFQHLQ9 - I6TYTOzdDeYlGPLcKSa4jArJDK+T+q3QilOa7IahXjzuQCzoOQLuqDBm5njUQb4kbpGEgil2nM/O - u9dbjnrWv0cvL8b9M0+E7n5WGeThIR1784widtg41zsnxWAM1uIszmLMkMOLNe2G5YYYQVg25IxA - 8iRFGySRlI1STSi+eqvkkwDhPmFJ/eZ/covvnVDA5xuIl7PJ0UZgPv3qvD7vOO1qqepc0j3BdRKX - HWLLowMitIwy5ZiuIi4Jiego51RjjKe0g5M3KQRSgxWqPe5NcYE/PadUfn8fMby94O0Ft2ruTUjC - /S1vn/P2gn3PqyNGnrHDWRaRny85KLhWch64XXMV+WBFbZk6vj5mVnIZ2eQBKvaeiJOSGzVGWHVM - LBnmjj3HMjJxTC0XESdMlEIohFXCO7IyFvYcEUryGvPnC955yNW698jrztqgw/gb03C/kz4TevVX - 4sv7v3bO536LljDsBukL3KYXwgRgDF5/ZZNXphbCy8pXBJTiCxSnEhPjCgObOBBEQ33vQU7G9NSQ - 0PbxJlhFe7+QOFByCzVhFqfQFDDF1KJsO5rcktcD+HMW7QbnYd6lVw9Ce7vbi5/iC3agZ/8U8wIE - UkJ6yTo6jOcWsVgwbrgPhTf0SFTh4JCDEz69z6JhHhFHW5IV02AhKkGo3tjb/8ZvX+NpIoRLwhaR - 4/HBt+fcGbHtobMzsSSa/MZh/cqIzx/DpPYl3ZK8jLkVmpVcOxwJ6wlFh0LYo+sGq9nogOkBloH3 - 7Hr/uCIWHGXFZMbThg9WPFjzL65zd8IPL/lwy8zylSk3Kt5f8OMr/mbJged6iQh7nk1kbPnzcx41 - fHefRw1ngRslP1vw7hqUiaNwbNPghLPC9YpF5KDgdsXdimXiPDFxTAxjxzbhDSPDpfI0c2wZe5rA - ZeIcXgFhsNYp2cCDTv76MY9OCR2Z3tr9IuDZeRyiPh98YxwsQCkN5Z/00t5fZZiNegK0eGES1Wez - wcsBE/hbVv9S48hW8B4F89zyNiy3c0DQu512fqQEUXrbohtIKzPHV6OOjU5iAGtaw2Mi8gDZDrff - 6RG/ZlQGfUHM4M3gBRfeswBPu7/tXugAL6WEDibVXjSqCrpEFxGhcBgwjsLiHCIYQ8qMx5gK6zma - EAJNh/fQ0wMO0xJg8sZb1Zv/6BoLx5+cQ7yAlvGb/+FNvnJI4fn0klUE8VvhlT1zveSdBctCR2Mx - lnaLGpc3G65PipJtRoTiABfA4iKFRSvGc7wb7nYTW9o1XcT2tyAoqSbYzKM1p2u+PuVrc/74Kf/P - Y4zllTFTz5MtP7ngr87Z85yUlI7ThjcmHHgE7jfMPXuOz7asI4XhaUPIA9Vzq+ZBS1KCcKPgWsGe - 5989AuG04zJx7DnuAU9/PzHDeeTzSIKbnjbzKHKZMYan/cERpVWLNsiPlvziAU+XPWzZYR7yc923 - Bzy6Mz7EMJifdceBaqbVgbexuybQvBD3dab+1Yh+trUFMF+88UnfJbbgdg4fr6Ds/T5vvso7fwRL - vPx6VqmDTiheGJf7oTQKaH+Dp4p6NirMseGpcuU8shYz187hW6TbmSieMazmV2aOXfSLeUHx7e/W - pl9Iy8FNQB5uz5cNYilK6ooaYmTb4ARrsRbnsGbYe2qgHLFocWsq4c4Bj7ekjBGcwAQzxqdJ8eY/ - vYkd8X+c0fIQErY62Tu+e8DDxMdPeH/DBYzH02v7VDX3Wz6D0aG4knaLNmQPd7yrvG5oPQSMp51g - AlU/BpQ0HTGjkRRxL6j+asHRNjQbVg0zx7dnfL7mf/2cmLg+YtnxaM0q8vNLcuZOTe24Ctwd0ypv - jnlvScq8OmKZ+GDF9YqcqSzXCt5d8709jksWEWMoM2PLOvLqlKs03CJj7LhZceTJQlamlkXmMpOV - mWVquMg0ypHjQeBh4Fs1dzyCOvg4mB8+5LOHNA0q7PwOO8NzjIQ4sJ+xFwHC84E47YSwXhHrMinv - tr3rC1j/5SB1v2Lk/PL1go0HgaPb09uvLj/1bHUIS3359Qcj3Y4v2x1FlWRQcZCxNe7WdcPUslTo - FJN+b8bTyHvbTArk3aYW5IskTz8L2C9E/44Mtb8y4vQcv3m2wUcQcJ6yRgRrEIcB8YOjox/kY0vI - HB6zyZwvKNfUBj/GCU1LeUxxAAnT4fX2hMmEP73gb9orvCBg90aWDyOLpzy84r2WRe5uHxa3ZnzU - cdUynmMrNld0bSInvKmm3pTEgt57ud0K20RRtJ4sWENsiS0hoYmi3+UmaM8NpiFmUL47586IP33C - VcthxbLjnZana/ZLRsK4YOYpLRP41h6PWx5s+XDNrZoms06MHd+Y86+e8HlDIdyoeGXEniMpzvB6 - xWngrSkHnrPA3HPLU1luFnTC08jE0ma6RKVcZsae0vx/1L1Xc2xJcuf5C3lEZkJeXF26qrvY1Oyl - zRi5wmb2Zff7jtnuw7yMGXc5w262FlVdXeJqCZXynBNqHyJOIq9oTaPZhsGqANxEAkh4RLj7XzjB - 86HhiefMc6C501CJKGGD/PElnz/hYoGPkEZKc6F25sa/G++BbUa0Df1Y3IICJfMJo6OJeNvJ7MZG - jd9FhbePfDXOBgqxcvtgI9CKz/7L4leauqOS9NuNIUaUIGszBCR6QStKMRlgABNwuQukjg5su6ep - JZvyvacHkh8mGJ4RBwChCrX3itMhxvRuZycUzGv7c2x/pvH3kSOBa4tTKE3T4hQmm9pE6gplkK58 - uZJET1uBoqvZP2Cz4tzjXuA3NIcH0+9M4NFmTnxJxXLgBy/5+QCuJ6yz6+MzyQ/OOb8k1WAQ1r57 - iLH0PetEI1Ae5+CrFxy39nCvnoBk3fuq1b3S6A26Odijd2wGWkmKdEMhlodMehodbnLd6ODjCd89 - ZB140nNQcWjpA0OislSaWUJJ7rS839BqznserXim+GjCeeDBhrnn0PDzOT+b860pB4aVZ8/gE086 - asG+YZH4cFKEfu9UnAUOFVrywqMFIrBILBKLwIHilmEqOTCceb7oiIlDS6uRoEiPnfzhSx69KALD - Mf/ZIf/ErQLYlZ2wVQJk580t8zk7apI7lZmPuc3z5RUhYmK50bJwXKxKZ/Nqxbfvga3uMffQfWDP - I8Wo8U2vAE1+DNbsr7yS6IgSxCtetEZYxLtSoARRs1itGX5V27/5cc9m8QO60+J2VkI8lip2y2FS - 46EOrx75b7vXhLgqEnJLVIKpaOsstSBELFiDVAAikhTeIw3NHk6R9tjMWQViTxowFXt/ebOh34CY - 0iwI7qFyC2cuZUR7hEc1tAdU/PqCA8EdiZ9wabk2hUQbORWENUYy22N+a4+q0YaYmF9EkkwBLucY - RYVPSE2d0IkhH3CjGl4qCEXsmi+tqcJo/ts5jzpS4nZDJRCJE8uRYdHztGPPMgTWCS14tCbBp1Ok - 4PuXuMAvFvxPRziYO2rJTBMTPvKw44XjO3t4+NaEBn625tOWM8954hZ0kUahJHNHEnQDwC3LRAE8 - 9Px6g0scW+42WBFr0hz1vQt++pizS4pxZ9jRNwZPcOOHAe/x4Yr5HMb+T/ZJyLKvOIZgHJs/Ysx2 - ci5uQHlOV4SEF7g3AsYm9Bipbofks07UWTCRyaH5UB+RgWxlGxImwtYUMeFBJnqJHnkGKaKT5pPD - axNrJFbzdAPxJXre+c8ezQPuPuJV8FbIQnW+ckIWpRW3i3C9ggTvoARitOUulAyFsmAZPA4YkAmp - Ufm31QwdweIU6pBOII5QF3TPSEtiwlhmfzEN0y9XkKDuGTYIJYWJCUKHNvgJOOJic24/aORf79NM - +HFgNqGTrM9JiVYiPG5BL6FtZaDriZcrfBSHs2HjMA0kOt8LLSUqEmQpSYzFSLQuRpnZRlWOb08H - 4oCA64ZGsR6Q0AjmPU97hOBuy50JS89U0WomAuCfz5gq/p8Lrlfcafkvj/nWjEpx7vjLfX58yaWn - URjJpee7U77sOA0caC4SU0UrUZKZ5NxRCc4Hvt5wzeASzxwu8jywSjSK9xuumUx/55uO7z3m/mO6 - HkQsNU05/h3BkVypd7MAILorRCz4gv5eHf+52TKG4Lb6XAuAvVTsfXzCOYIoBLA3N4CJOKgEhw3n - /ch0EMiEF6jc8n9NLAaAHI1HtysrjPwIgfnsPZ408p1WIwNa8SxAOkc4xBPcGvwraUx++u3Zz86J - /kpN/CopaPvITG4To9Vutg1NlhDZrBAZ7dOFzqs1AlLLMuDPiT16ytEFT5/Qz5ECa9Et7CWH02u0 - Z1jgl1RHtWVjYT3gImGFNMSutvJ/uc6fX+OXjtRRaZ7PWTvWARNxkk0HkmnDMsFqjtGYKvVRCJNy - pksKvdYKIdk4vKcyGI2WELIauPyuORdSqhi51QIDK8fSM1EsBs49Au423K5Zuivk/siyiHSRM4dR - fDjliwVG8b+fcDYwM7wc2DM8d1yveTqgJRPFM8dHNb0gQC144jlIGEElSfBkoJHcsJx5Tj2VxApE - 4tBw0xbrtCXyRws+f8rFnJipP9lFOkd/znP8CO6GkQNH1sREUijuyNnxXMYdAHgbCzthtBbFnzBr - m4LAUtq6uyF05XAoaWvOM69IFkWLSiXdiK+GX47YbCC7m2lvKKEvAklAyLacklacJWrDmWAt1sgN - rsOdk9ZEQJVTn509mvP4zGm78rYe/5er4ZLrS4QENaY96Yo5ly1LU0/si2Y3SZQe9a3QthBIS6KH - Be4JT7+guwCPDqSE1gxiHUF3uDW6ZnKLqlnL6IVDJOIa7bET1PHfHfHhBKnwiknLYWK6YR5YDHSO - ziEM+xUywHqN0rpqMZYg0pAYHCHgUuyic3iP7xMBo1AKRPG7z/CIBKWpLYLSKVKCwXPes3JcuuK5 - YCWtRkuedJz2vByYKt5vmWpi4smad1s+aAGuWSaGPctBxT+fcatGwpHhPHCn5ldriLzbsBZ4wUPH - qUcLGsWhoQ/Ukr+dcN2wCghBFLxwTAUfNjSKbHpzrxc/fc7jl/SO0e925PpfZUFh/NBdsf9TLn+5 - Qr5y9KctSYwS6+UYzkiwYCOZC9xO5mN33vLadoec58EpyV1VBY5yCeSgSq92KdmxmhOCDcx3doJj - pCQlHJp04T2x4UEPPMRfZL312NXhdYSLLYdHlN05bi0QxHH8SP5Qjk1PKUsBJGNWUSFFwRfF6Lpu - LU1dyD/NlKbh2TPSBAXdqujNmwqpkIEUkJr4PIUll4n6QNibVUUXVgwXuEi02PeOWnkwpan5hz0O - Gs5hGRk6ZI1tWF4wbHq0ZKprJVTgwoGppZWhULkiQYAqDY3ovbAyUWuhdcn745akmCCzmQRdJHgS - WOgdfaSLBbVEYAUhcTlwOfCwo9LcbPh0xtTQRYzk0PLhFCG5WTE1KMGh4UcXTA0DtJpPJiWf6eF2 - w9PAMpAiR4o7mqmkFohIJfmoIcETh5YoeOaQiXcb9g3IVJEuk/rv5/z8ERfnJL/j7l+w3kz/9KSh - dP1zbeC2HLhQXoWMfMXtYR8zyDTG6++Bbe1EGAgG0LlZtNWF7VTGLmEiXhJBZ1qkQI1E6/wjDONO - 0KmcsFtnlPJ9kkb5zszXYi9ECC8Ic9AjbYGRrbGtx0ufo+TxOe9Pcmx7ZpJcTnV0IW+yLVBU2TB6 - 26gaqwKtqCramloTQGm6jhcPeN6x1+ASfkBHrMEo+izA10SD6iCiLbp6xyIbvkkad8kqmfra/3aD - jw9YGg5rPm142POZZyO4ZZlEvhqyUVCi1VqIWWRwpN5hRRIyCUixdA+URuRumiSm6IWyoJGUQSQy - KwgTyNIjSJ7KoIGEy2dlPgpGQ7SnK0Tkr4/ZT5wP1JJrNSQuHS8GblZ8Z4IHF2kCNyu+WnGrZhr4 - 5ZwDw1TxToWUxEQSXAZC5Ejzty0Hqijl14G5w8FlIEKjeBkQiY9rrldsJEdEBb9Y8y+PufeEoc8E - gUSMBFfCPVe6PgO9biwAPGKLi2XGvy9+r9lWITujZcqniWwEy9fa5791WSAxwHwn3NtxX5UsSJDA - xiKGyOZMeY9d8e3Sq6ToLIR/5SfRXDjS5cNBM9yjOyXIK61NSa3Ge2D3v0Jc5TzsVrqywLpljp4o - T6BkCfqwc1XlzxtNXWEral32idJ88zU+0R7hlsyfoxLWYBNrD+AnaImIKI2ZIM2evfbdY85a7s+r - 2Dc0e399xJ+11DUeKsHDgZdQWT6qqCP3LlltUIrG1pMak5gIHvYwDAiVvB5l+CkbcIpkr2DARMp0 - /zR6wAkYc9EkEA4hi/2XH3BhZP1tRRMJJbhe807LKrD2DJFzh0j88AIB1yqmhsueFFk4zhwnFYeW - f7lkk7gmuNdhNXcqTj2Ph1JdfGjRghee65YLxzcdTx2LyL7htqVWrCKt4cMaIxGCFvEyyR9c8OvH - zOe5cTk6O4RUdO6F6TC+nwHgONpgidECkUjY0roiJhXzKX6Xkv2PWeMe8BRqkM/G/+O/q0QQV8KA - 7dICIXGpPD5/jscb/uoJ3Zf4OXG4Ovu3IourNb6fdnr8ZXbGSIwrwpf8cIU0BKAvJ31OMeP4Y+Va - tq2QiiYb7ET2j7k4x0n275Aiy6+RDqOQgZVBCawiWlINWRgQUNe+s8+3DvmFw3j6UEmlvGNuaCuu - W+Y9y5664thwS9LBz3qkoNHMGmpLlSAyhDHt6X2Bn2XeAKgAAi9HY+SxRZ49kJQgOYgIQcg0/YoI - weH74l2EyE5kJFFGWswq1oGzgXdartc86vhmxf0N1wyVALh0fLViath4phX3lpwN3KrZM/SJG5Z5 - YBOZShJ80PBBw/dXHGuawD/P+bLHRxrNScWxAdiX1BIpEZJ9YoTPe372nMfP6RxjE3PU9foR9GUk - PuSch5H2E8akP/9NdcTlmBsz8tz+d7vu5Okqxc/GJOI33wz5HsjLbHP6HQlBbuyIcQ/sBqpLI99u - TIEWkZszmpqzBa7f9jE1mw3DC4auCFoLfT+f629Evxij/M0u5/ZRuTMlJFqBRMSxPFAEP/rGJayg - qagaKoW2CMmy4+Q6SnK64ZNPWDme38NvqCRS4jLnRyIm2ClyinWse1QSTXXcshKc+cxH6KN0ndyf - ztibFqsfpZGKxoHhtCMKKs2x5C+nnAUOLV+tkBCVIILzDKJU6gJGZPNqJaIv54DKTeBU/qYkhEEm - 3AYxTlcTAiOxEiMQkn3LgSkc5g8nfDzlVsXnK75e0gqONe9OifCzFZee7x5xq2EIrCMnFZ/MCIF9 - y+nAS8e+YYAj+POWB30hPv6/c77siFBJ3rHctZwHEty2qIQUTESy8CjK753yq/tczikGzgXZHdud - PhAcLpRT33miu+I/59ZvdonLB0fuEPp0hWq5V735LX/SyjtK73y4GUPc7MbkzoCMLYzQCro1m44Y - d0E3DY6kUBoRCv/+TeBaUVrcbxbEeaqZiCNBWhQqf7kiHCGicluzQni6DSlhFG3NbIoUVIYkmPcI - w8bx9BnnGwaPuGA4pZXoCicICq0QDbFBWVKiN+xZ7PFNSfA8WHFqUfu4KKTdf/8uB/skSYpIzVqT - JDcMj9c866gMZ2s+mtJCo0ESwnjjCVUym5hv0mKzEQWEq4gvyU8eZpPp4YGUkBIpSgZhJFIRE5Wi - 1WiIiYnhVk0XSJF3W2LksGLu+WqJTxxVfLrPhzN+uuBZz7sVn0yYaZ4E+sgNy/nAsaFVPIWpxkCr - eL9hHugFh5YfLnnYc6TZ02jJpw2V4mFPA4PkwDLTNKQ14l9X/OsjHj8nDLGw2bYJT0a+fEYAPNFd - wV7bjlDmRUvJwQHn5/SpXI5G4Ef2v+CKHfPW6M/Q6ls/XwJMAjgBCaNpYe3REeTIjwAhxt7OdkDY - znGVbyEjyyjLV1bUpIhWeFEI/XmAxyshLkd5wdvs6UiFtCNT6QglyhZKQECBMgiNSwyZge5pa9oa - IwkSoXAJbRFTnnRsItbhB1iwJxkEXQf7KItWUKFADYhEfXs2rSbQwEsHe7xs6Vizrz9oOZmw8USB - VUwaOs8RTAxPOw4qfnVKCtyomVimhn85ZeWxEOsmEvE9cetxlIhi6/OSL8VsWJEBYGVQ2TcoI4Si - lF5WUmdSo8So0s/I03znjkoyqTioaBVfr3i45mzgqOJWw3f2edLx9QoFE8XUYAWnPVPNtQYXuVYh - Bc14n7zbUAnOPH3kYceFYyI5MpwY9jUzzbOBwXOgaCUzjZVJw0Mvvv+yqH53Zr1k8vNY/pZ6YMz7 - c1Mob5X8jvPULdevcXpJyJq+/Hu+xgH7DdH/m9ZuQzNFhGAABNZwqHGXJPUKypV2ttBrCsmUGERR - 777NglfDQNxcFcvhjYekcVbpazrLV4z/x0ZQHBOnNNoCRonJFWUAiKHoHgcPgaZGzcDhNL5lFlA9 - ncOvMY5BIwOqxu9hE8owGFJEG6obN01lBZcO2eImeMX5AN0lzc1bEyoYIm2FMfQbrGfW8vWSxYCH - l2v+/phv72EtXy75ak0SzCwisOr9iK2IMh9k1N5mUnZOeqVGSIxhYvGePoMAsmB9WlBLlMysMrxn - OYq2XGIVaQyN4ldzrOTzFfdWLDyV4uMpLvJPp6wCGlxkahgixxVHFUvPxy0xcRlwUCumik3gaWAB - n6152TNVNIZjw1RiJM8d9xwpMrVMDFFiiSvEz1d89oRnL/CZ+LCl+4cdzk9047iXcfLFtupNERGQ - sFzy+RfF/FFsy4Dfvl5tzrx2CaQ3EpCUbcYEw8ALx3qcfJGTKwXE1yU1Je8azWqAKl3FdhqN+3On - 7mrE51uWGF0oXu325F9D7TaLcjt87PfnD1P2fc9ZdSjcVKtQiRTps2S94sLRBJZf4eY4R/F+tSgY - JPUxdYMUOInJpgB6r2oVrAKN5MaMd/d5DOF0YJPaRkyyb1XFpKWHYYNTPB142dFHnnR8esR/uoOU - fLbi+6f0sXRdVj5b36hSPokrikeWr5GdlzRCUlkmM7Sm60gBRq4hkkbSaLpY+EJ+VOjZhFUg6CKn - js+fkBI3G4DTHi34+ZyfL3nQ0QUUfLBHBJ+Yap4OHBgqySJy7ukCB5o+8YsV9wMbz2ZAK4zifcst - ixbExGPPheNIERUbSSuSgl95+T+e8/kj5kvGIb47duc58yktIId3uFDYb1tRGPkGoOBfgI6Fj3kl - dvkN4vQ3/Rq2e+DN6N99zAArgZUlyVD2qgAAIABJREFUq8lBplMx2Hj10VfvWhC8wjXaltQ+6TGL - kiODdPcS2IG0trjYaw2f3e+YtY75S7J/f2l9+nE8gaIyVBIRy2AyoTg/p1uxXBRIOG9uYcCSBswE - MQVNTOhUdOBM9B7P1qg1f33Cf7rNfs0/PWdf2Mvm1oGm0bSWScUq8GjJasPMlgbSs4Gp5f98h1sT - 7q/4/IKXPSmx8iw9hF32x1jJCJkNTnKLTxmURQrqGWtHN0f0BQAW42OQuEgXi03DEAkJJdCKAD7Q - KE57HEjB047jiv2K84H/+pzWUClWnpnlmw2fr2gFz3v2K44spx4XeeqwAgnPPC8j84jzKMGx4kBy - YqgVIbIKnAZUYj8760ka0jnq+3N+8pDnzwjFwcGXIz8XuJnsmfUuYSj7YdsUCltjiBH5iqNthOaq - +oRybP9bLTHG7tZ+PGfamRS03qm29RY0+O1PmChq++IgJBCjcUPaOdQZPyNAjk6daccIqAw6ljA6 - kma1thSjoUOeIJFHIWlCNtNLiJpnc0JE9fiIpngbeIvOr2mFmYwwXmTI2heDjWeLJd3Cm9mZmYZE - GOhg33DUiDst04q1pEnQsV4QJcLxwvPLJZXk/7jLhxM8POu5GCBRSUIGcX0kjnTX4rRWhqTFVESa - 2qIraoMPzBfoRCVLozOjwgmGQBfx2SsslrGkWiEFyyyvHXCRmy2N4MWAcBxZvhpYRVJkFUgC4blw - 9IEomRquVTz31DKPc2Vf8dJzGlhTiBhHhncqrlUcGF4MPOiZg0vcsNyqONTsySThi44fvuDhE/ou - XY26yDLfovMKr/T+407oh4AcB0JG0KHMPsozkv1IdckHnwMTiy3a71y/5exn7C4yboOYr9ScXIxI - s90J+u63bjwncJmOWjaAIoorNP+1XZvJOcV4aCx2txBZsaveQsKpVIJJFEjLWlqDtGWklNJoTe9p - Go5vc/k5IhA8lYOA1PQG6fA9ssJMMA3RYSE4TJ5DKnBndAJr2N9/Gvi/z/hwj2g4ajgw3GoRCpl4 - zyA7XmhOHY8Hvlkzj/yv1/nWHheeS8cPXnJ/TWtpNH3EBPqs6dkajAmBEpndQASFtCiLragq1isU - GEq/NxYaPVISZaGm1bIQEK2ilqWemlUsQrHu6RO3ak4qEiwbpKASLBIi0SfOAw86vj3lvYYouN9x - bHnh6SAE5vA8sQ54z77iWPFBxVHFy8BXG54FkNzWfNJwu2GiOSQ+R/3okl895PSCcdB2uArunORk - ts+W+LlFvvyIkRXJS8BlccnYfnkz6tw2sv/kqyA/z1XSsVNIOPGKWP7NB+yuV5tOsrihFpaoIMhy - 6W/fcv4jIzqixSsmKF6Wk16OXg+5Tsxqxgz0VoamxYy8EJGQeXz8Af2iAEXCgCBookIm1ICqERaR - CB1ty/516n1uTpjknM9jFEczpmap+qc1rqZW1IIDy42WA8t1wZ3EZII2RFgEfOKw4qCiDzze8K8X - /NNzBrg9wYiSeRV3+Hy3ynz8CyFQEQXKYmpshdWsB3CYNGrByuhcxEhcl4KZpdJ42LNcq0qm0GqU - 5Mjw0ZRGczawb3lngof/fMLdmmMDeR4ICEGr+LhhKvlqjYRLx3NHSCwSTzzngc7jIzcUf9awZ3nh - +OWSM48QHGk+brhV0WqmIg6IX6z53lO+esxmwzjlblS154P/qv+Tu/47nGcXR/KPR45D4nIBGXZS - f7ejcmxgLzEZ3SLy2x+0RKbXpjEac9imqzdyXhTfePsNWdD2B3CCudSvfCqz3KR4Zb9uJ3xtnfgK - DW77I8pC/8yymvwAHxGKtmI2RUrciuixNc5jDVpzseH0KQoqW4aFmAFAJ2JbVAEiYCfMPuGu4rHj - 9DmzBglmwuEe0tJdsDe7O+VYsZGYCe/vcb1i3qMTzwKPOy4GPBhJYwsV49Ix99xbIhQfz3Ce2uCy - bEjk/Zyy0bhQsjjdglYYjVHUluWGxRKTGWa2pMSM3WAtiZJaMdXMfSkD8vV6UHNYsxqK8duTjvOB - px3Xa4CpYmYJEeupFBPJX834+30mhs9WLCKHmicDTwaEZNBIcA43cNfwScv1hlXk/sDcIQRTyccV - 71fU2TOd9CCqfznll/c4O6eYWGxn+kZHGArvP9e+zo+zj3Zsf/C4UGCvK8J92mEJJPY1+y0Xa9ZD - yVJ0eove5d9qCTCG4Q+g2u0uDWPGxquhv3PVIF61/tyuOPoWSoUWZQZehtOToLJMJlQG5/GRWvN+ - w0+eoRTecdqDxGomks2iRFnxHRohZzXl8CP2As8fcfacwTO5hjE0DdrkRuDN/fpmxK+pNUczao3x - HCWeO344sHR0Y3dKSQ4rrld0iUcrvp5zo2WqmEdmiqeOJBC6FoKoopQyU1SFIMZyaeVZezGxGFBD - ueoEJckpUlBJklQKK3GBEKkUQ6SLTAyNYTFQieL4sA5IwTzw5ZIAX6+YGA4bouTFwMzy5zMS3N+w - DOxLNpEnAy892lIpYqAPHGr+bsafz1gEnjheDsSEUdy0vFvRKqJkQlyjfrLip8958gI/7Mw4iqFo - mbPVc7kHfJE+bp0PC/U/lTFHGQPOLVQT8TsI6eB5vkKEqxhKgibLvv6Q2BS8paP6eiIEKXFzytML - VhH7e7rNbXdsGfIzGpzkKBcJ9cacr136AxSZvM48Z4VSKFlaSTEQodJMGioN0G+4uEBpVgNKFc8+ - Y2gjk5bGshiYdwhVxlw7kJakOLxNGzm/z/wCH0g1N4/AsFgTAlpRy33LtcTUUO8jIssVs4r9mr7j - MrBnSJ7LSO85sPzDNd5tebDm3prLwAdThsSR4YVnEEwUCvqIRB7UdOBS8SJSNdoWPLDfULliMpn5 - mOVFl+Xyy9RLA4tAAitYBVzipqFWdI5bLU96Th17mh5C4tRhNWeB9yz7mgPDww4BLnHqitntAI8G - LjxeYTVJ0Hlk4rt7/N2MNfx4w4MeEdCCPc07FVNFVNQSA792/OsZ3zxkuRhFj3GcdhF2it1ieTtA - P9o9eEJARkJgiCX01Sjr86LMRNguB96/3phX46v0++8BkazuBl+/+smdbum2Lfl0gQh20g++/t1m - o7uBbYsonitImZ1sJ69tecC4pTO7RTFaIYtC+hehEEKMpm2ZzmgtywWnL1hH6ppG0DQIMJIbFZXB - WkiEmk2PDYQOq5gZhkSytJKLh3RnSAkTPr6pp1O/9gwemWg0ujpM3Jlia54NvFgxg/caGsGsZQIH - WXQbkHNu1fzVIUvPPPJwzcXA847rFZuBlw4d6SNGMAiUYRVgBPfqmqrFeRA4R+jBoSVakSRpTH7y - a5ZbPRONlCw69gy1ZN2xpzipiYlvzzis+PkLZprWsEpUkj2LFHw65S/3eTzw40ui5NjQJZ53tBKj - eO552DNIWkulGBwbzz9O+I97TCq+f8HjDhUQklrxgeWOpdIowR7xHPm9BT9+yKMXmfgwjrfYJv1X - p/4o/w1jL2g7DsxnuW0gZoQ1FebPmyH3utNb4Y3/vtE/fvXr0f/aSuN4wJWjEgP17te+7fHjV3G1 - DfToWp5g9DV+65flJ87088LpB6FQ225UgoDIw8UthxMmLclxucDDpOao5viIlaNfFXWCtEjBpOIS - jgQrg1UQiQNesZ/wZ/QXrGBS8dExs6l/eMGmZ9pQV7SGF2fPQ3M5I/R8vaDvmTVoMJaTBJEDjW15 - tMHBtKbWPO047XjYgVuaONWSLxccVvTw3oR5xPcgSIqQ08ua2YwkSj7sIjKgbWl3ljx4nFakJEZy - UHFgmTt8xMqSa3y8z52ai4GZ4UeXkNhTWMFUM9HcamhVcfz872fUmpOKWw0POk49HzasAs89LwOm - 5kSxjHSBjys+mlApftnxC0dITBUTzUcVH9VMNV7QSiLps47vP+aL+8wXFNKSH/3Noy9E/1IKZxAg - 1wAehtL4FzuO52H0/NmVupcwEW+pPgtr7fdebz37X3nAq1Favfq93rp+w80w1gAZBNjSGdjJ+2WC - 7Sye3CUc35Fj3SwyPqCoNFZTVWiL71gtiZrDPXRNa3ADfY/LrhgdXU9dce65WJIkH17jxoRfPGGR - PSyn1C3zDQeKWyckxcNzvOaoZW+mNXFDjEePev6vZ3w6YRKYCq5blGIICME6cc0wVawdq4EuMA90 - iZcDfd/X7fTmhLOO2tBIjCkIbh3pM9YX0BVNg9JZK1j8nZQuLCEB+DIMpswDzhvAoAVrV+Z8nw9M - DXdmWMVBxRB5uuG6QQkCvNNyUnGrZqL49QohsIL3JnzYIATPBuaBekBI5oGgmGiawGXkmuJvJwTB - FwM/6phHGo2WvFfxScNUE8BKatLDpH4054vHXLwEl1ufW62jIzjCMAK9IwcujkRol2M9lFm/xesq - 4injR3+f9dslAW/7x99x9v9xaxvhO0vD28YUpB2CQ8m0RnJYNmqWong4Z6G+TGhFXWFV6QXFwLLn - 2Rn7E6zFec43WHulmhs8MdA7jObkgCGgE5uA81SS/ZZJy3LOyYzJHsuO+QJzwMn1yRFOYBNHkmZP - vozMV+xPuNviIrVk5ZGSIdAnXOTRhl8vEYKN56IjJJ5uAH294usl855vHxMTR5ZFZrA5hGQJWGxN - L/EbUqDP8zJtmZau9egwACIVfRsJI9kzWEklaWpc5HLDd464UeFhKvjeGRvHXk2jmVb8hyOmmlry - +ZKHPe81vNsw0VhJHzkP+Mg6YSVBYwy1YhmoEt+doBWPPWeRFx4DraQVHEqMKIOV9wmX8PNe/eQF - D57R9XE0b9m2/MPI8dy5CuI44zqPuSZbHY5Wz1va85uN/zfP/t+Z9ry10v0j1m9ilV59oxHjevW7 - jQQ5cVXJFdlYonwq/5nliIVdDXpJRdOQQCgqS1sBxFCeeWK4qfB5nGfEaEyNcmyGqxniCPYOeP8O - p894/ozhjACzPY6PMTUJtOZsxXqDMly7dnJIW9GtkBEjQSI73lH8Rc1exbMNl45lxGiEwGjOPF/M - eeppNEnQWh5c8mTDwVQBz5bu3QNzt+FZj9H0G0SDVqDZQNPiI4sVrUF2kLCG5JGgTAFP5QgY1Bov - EInjij3L5YCSHFe82HCt4W+O0bLQ5h+vODTUCiv5YEqkyMF+ukQrjMQqPCjJpSclppqpBYHSmAqR - 6AIfGA4szx2LxIUnBiaKRnLNgOQsciI5UAjEF17+jxd8dp+zc8prvq10w4AbpY+Z7ODy+zvMZ791 - xh1vgJCukp8SfOmVovH/V2vcAHEUHKfRuofx7M8WLlFe4QBiRIVFHtOj0Aqb4V5PirhE2qCyrbkE - iYnlyaMq9iwRpEJarEFFNnOWPQgmLXvXEIYYkYZFT+9Rgv29yVRakCtUYBlYdEwFw8B0xlRgFSHy - sqMy3IbjhouBr9c82NA5rOV2w9Tw1YqLjrbirAOl90xx1baCWYWL1DVnsDchwuKcSiA9SNqK1INE - 6XJcirGZpiVa4BK15kbDvmbeUyuUZFrxrT3+4oDHHVKxDDSSuw0nNe9M2VM87TCKX6/4oGVmeek4 - 7/nbfQy8dBhF1BjJRiMUNwLzgXc1n7S8HFgIFok+YTJkJ7luqaAWTDU18SvkD+f8+D4PHuP7bF4y - OjwXes8Ie3lPHGAo/j+5HigigczlCIRID4bienI1wOttZ/+b67cc9q+x//+INXaHrBl+R/r06rfQ - pX8RxdWRz27Tc/wjl8boOIQ29wFinmEhS/HarQtbMMmic6+rwicLsWQMKZXZqUiUpDZUivWGVQeC - /T0mM2Jg4QmxCLIaiayxkwaEYOV5vo64cGBMbXGBiw1PHHcqrOZ6y0HN3QknFeeOb5YsPB5azd0J - m8CjNUPfDU4h5KRWLrKJXKtRiouBIdBpkMwUqw2VKLNOtCR0CIoFUAylAQYQkVlPEalV4YFKSr5w - veHTPYxk7agkKXLNcrPlVs2thjPHRPFs4FtTPpoQ4XEPglZyf2BIIDASJ7nQ3IF6QxX4D9f4qcMl - XGLjyvTKENkTHAimkomhJV7AFwM/PeXrRywy6zPrdMJodpuGK8Jz2jW6Gkc+uoAMBfHNrAcTs6Ha - DrX4N4e+TsUP4d9xDc7+pkaQ1d3gXrelHotgyQ63eUfvu32y3ObI5LbsQ50HFghNrdEKHxh6QiIJ - dPaaH1/0jJbnKZG5bMh+pUoynWAFq0uqCdcn6IaQ2KzxEQmTFpVLuRmN0QJdM/QcS9k08tCwcFyu - OGqYb7g54f19jGRmuTXFSPpzXg50gdpwo+JGxb2OByuIAyiMmVbqgxlG0hpe9mwcnWDhmNaYnm6F - d6OKISf6akRKROm/qUAURKgFSVJJJgYBE4PWWMX7De82zD1SUCnOHIcVM02leLzheo2D9zWfTqkV - DwZu10wVm8iv1/SQJMeWi0STOEpcOv7xmBfw644TxTxjFJTRySeKKUw1rUKSHiB/suTzR7x4ScyT - fq+mXeyQ3vxO4etGDlxOdXLak8nSW7FvyNSDnSDaTYfsaP/GOMeFMYjeevy/bua88+EfcRv81i8Z - XPNK1WIKG3Sb9ohdhIytACBb+CuJ0hhVmF9ZI6IFdUVT0zv6vsDjRVissBoSoS+DAoLEqsLrkCA1 - B3scT7i4YHAcHdMF+p4h0OVR6xoXoUZJBkltLgV6yUeWaVUGnJwP7E3422vstWwSJwoUQ2QIzBP3 - Njxc00i+fcDfn9BW/OwxD1dllq/Q9p0JxzWXA1Jy6Vh7ngUqw4ngcsNyICTUKGkumIcsbOd8SuSB - kUeWRnLhMKLwDm41OFg6bjTsWRaOmzWXjmcd1ytmhkvHccWNhiFxYmg1QvCe5P0GBV/3aM0ysG85 - Ucw7bmrUwHemnGv+eYUUPI+QOFTohLQYgYkohZW0KT4V6idLfviQew9Zb9JVhyf3fNyAH0q/v3Q8 - 3RUgkHYQYpfKJRAywhMIsHlDXVXtBLfApn5wzeub5A9dv7Ou/cOeTbzS2smrsEFhpz+Um5658yMR - CZUxT4PVKAnjHDttqQ1thQ9s+pIWZHTUamqLVaSIG7XwMoJGabTBR2Y1dw54ecb5itkMl0ihaPNy - nZ00XqMkIdEoU8nNKl5r5MczXvRoyZ7hZkLD3UOWic9e8OWKBJXhk54BvpjjPH92xD+c8MGMX8/5 - 4Rl0S6TJLkWnPV+vmVmkYBU4G0iJuy1V4OUK71GCPKVSmEJ43roZFSsMgUzsGTYeF9ECCZVgWvNw - w8qzZ5GKiUEEPluQ4N2GqWap+LtDjEJLDgwx0QWmlktfbCZmml5wpwLB0ZRrkrXgheJnGyRc17yM - tIK7EqOIkuVApdACK3CCLzzfO+XnD3h5wZWrYfH4z7SfcQ8Uxr+/sv50AfJI2VgMD0OkS9hESK9r - Cz0IcXXq/2FB+QcS4/7E9dp2ciJTIUb62pYLfeUJB0qiFXoc1yUVTqAUZmylXQx0nhjLAzIhojJo - xdATKd6lKcA4LEMrTM3xjNWSy569KULjshojM8szr85gp4gaG+21aYyYJP/mkH3DInJgsaLQ/qTg - dMUXcx5siIrrDcdt6VrdavmPJ/z5PvdW/NfH3FtRTHml9ImnHVHwsWRvhhRsPEcNd2u+mrPI1FXK - jA5pQJA8RRGWDSMjKdIoQmKIbKl0E01MrAMTQ6shIOHSc9ZzYJgaZpZrmn3DJnBk0YIzR4SLHgdd - wMEgmBq0ZqP5ROISF3msS+JYsk5MJCeKCRjFsuNEcVBRaYxI95A/XfDLxzx9ju9H3+a40/0s3Ic4 - FrtuBH19gb1kGNGugE8EsAn/xqwTKFLoV0/WAsr+9vU7o7+i2Gz926y3bVEn9Fsesf1lZB7Rbkrh - qyTNFClwA6sNzhHAx2wdhTbUFmvK80gYHARSVZgnMHpEiQKKvTjDtiSJ8wV3DIkoMAKZBecaWUGM - G3zX326qg4qF58Ry0jKEIrZKgrMeIocVUtPoAkjdbfn7A/7xJn3gp+c86LgYGC3dyFS+VUBKUuRi - ICbeawmJxwNEtMQqjMEoYnbLzMmgRhrE6G9kVLlalUBLJChFSkw0jcYKKkWtOO8xkts1SnCt4uaE - pafRaMEQsYIhIWCiMfDAEwR3Kq4ZugTweOBRgsihJCSk4EAygT7Re4bEhw23a/ZFvET8qudnp3z1 - iPkcggM/Grw50gh7lfFeo/oxjTwIxvKXyJDKKHZ22j67q6hBfgMB4U8sgPurZ7CxIyUsVRoWcf+P - ebbXerUmE1TlFgfYIfqL0QJayGLXnH3dpML39BsGV+zxGTubmRKXEv0alVA1SZIkSedJs4UmnQI+ - oQzBczYgLUKxHFBbmxFNJVEjSdt3WEEQ/nyNlHFSfb1CJD494Lii1mjJl+c4yi11WIOiCyX3uNty - zfKsw0cCDAHfdyiNUJnJ0IVkojgbOH/JuWfPclLzq0s6h5bUhtoWSXPwhRMqDVpBGje+Kp4/WRVQ - KRrFvmYR0JJrlqkqRvZScXfCVDMkajVWlZJ1blIppMQL9jTf9Lx0/GXLuxXnnkbioUvIRC2owEMr - ODHMJC8clx3v19yumCgG0udBfv+Cn97n+Qtiv5W3j0yHnP+Uwnco1uclBRq5cS6LfbfN/lTkXbvr - NYQrbkkD4/q3grcAIYdqxn5LYKiMfXRvUJO3P75npi57Xw31G1eQ2CkqTEJlA7k06l2uol9eRX92 - 0Mui+AjDwHzJYmATS/ST6UAarQoJJO+HAEMkSlw+S0DKEkpaECKLDi+oavpQjhElqWv2W9qmwMkx - 4Db0C1JHHJDq6YafnDMzHFquGf7skAj3e3zkZsONKZVmiGWGiEhsPKvIENBwYBgSxAElys2mCtx3 - 6Xk2IOH2hKXncYeS1BKjmCn2NFOByV5Hqhicbr3tDBxojgyNxCoqSaOpJCmxbziurnQtRqAFVjE1 - JWuScOp46oiJVmEFN2uObdokjjQfVTSSdUIKHm5YJRIcKULiWNNKbmmONGvPTPNeg9Uk0oOkfrzk - Bw+595ButWW8hVL+umEk/WfG21A6obkOzhNf/Cj5LWKXiIlvP/7/nZdLLC/s44e4t2ssre5s6hYn - N4fmN2yP15YXlEHZVzNMRzFUnv2Zcsmb8JSEN7tAbj3+5Y4NOhTTvZBwvhTKuWbK5H6hqTQh4nzx - w5ISnQiZViSps4Aq0m2t2AJR4ROqImk2641q9yyVxlpOe773ktXAHcus5pOa2hJWHGputwyRbwLC - 8b7ifOD+ijNHMTMRo2G7ED4xd7jIVLOv+XpFF6gkStFKbtb4wOVobYsYDTTGXysmusSFKw37PnI+ - cGBRAiDEYt2zcNSKicQqbrVMDC4xd/QRk+2DElpiIURhJXcsSnC/o1Hc77lIbOCWQkXuavpYbp5n - A8rzwZTrhlpwQfp1ED8/5ctHXCwoFM5t0p9z/TBy3a7GXAdENrsdnf5jLENWQkIn/Kvt/K3h87/j - sv2COB98NQhL9fb6YXDWmh5dYQLDm/Y+byxdNgDFyybJq+i/KpdjEYAy0n4YnXFjpiKACqMSJF+d - oRglI0qJmpWzRmI0YUAbtMZoNHhdVIh59KrPIHEkxNGXcxwyED34I8ORwsDS82LFN0uUYJAcVRw0 - zB194EbLvsUlbjmUYh14sOazJfeXFIW+KPC0EHjB3GElRzVLz/NVgXVl5MTy3oTlwHlfRNHFWWys - cYLACGrBnqGLLHsqwaGikaQ8oVnQKlpNrThQNBIkE4UShZWdN9UArWAqUhIMSewrBjgNTCSLLOYU - VJRnaCVfOz4xvPA8W3DDcrNiItmQHif5+SW/eMSTZ/hNuKp6w+jy4LYzfQf8UKwOs9gljlY/2Ts2 - H/86u2XtoF0Z2/r3jX5gkPX2lf+tD6tmp/cWYfb7908LrRkof9vchNvOe0yjA/J2bSsJLSAhNaZF - QehxGeeCJEvuKMd5TFko1Xt8oK6YNFQG8lC9oeTICQZfpuuw9ZmLyACOBME3DcqyhhRZeiaGlLCa - bx2hFfdesvCcgJS0cFIjFVIy1fk6yTZ1o/mFkHlvCrASA0/WhHEUeaN4p+Vuyw+XdGMCnG0g2f5O - CSOZGe60nPcsBo4sH8ywhqFnqpgYrMInZhor2ERmlgNTLrwDQyWwmkoVixkBKtFIhsBNhVN8tiYK - +sS3K2aKI8EPOg4MreDREpu4U3GicCI9QPy844eP+fI+y2UssG4he+5QPsOW8DOUYmA79TGNaY+K - 9HmaNK/QmwNX0b+1YNht1PyJpKA3rIF+N6/hjWdYbPav2NFvkY9tsYUrPUCmOserkw1e2WvZ6GEr - jLwyTUmEnuqEw78gzLn8FTGS564mCBI1Srszopw9Baxib8K0JvUkXUS1QhESblRMZ2a1GK+m4At1 - IqZ//eZ08Mf/822OataB2y2XnuOG6xMerri34t4CrTmoebxiCHz3GpXm0hIApQqTUQiEyMwUIwop - fz5w6VAKBbXhW/vcaPlmyb1NAcLKKyBKWZQ/EfOoU80QmUlu1Mwsl4F1pFYouByKz08U9IFWoRQX - DiO5XmEEWuJBChwCwVPHeaCWHEr+24ZVokscKW5oGsFjRy15R7HXsfYcVdw0KMkzxJcDP3rBz77h - 7BQGV/L+rcirZP89fiD2IwVohwGRAi6SxlkvjJ2f19b27B/gsEULXqxwoxvuK3Pi/sAl5Ovv/HGk - 6Fe0AW+9B3b8pUdMN47GtyPI9caXlEI5+4eWnRCJAWFrI4hibAqNYgK5/fai8AdCRAlqjdVsOjB0 - PbEDiAmfqedXcTaK09OoJookR3X4V0ccN2wCU03vWAwIuNjwZEmMfPL/MfdmT3IdWZrfz5e7xJIL - Eol94V4km8Xqquru6ZqSzVh3TWs0Zq2R9KYn2fxVMj3qWS8ya0ljGslGD5KNZqqrq5sokgAJEPuS - ABKJXGO51/26ux7c/UYkkATJrnqQWxoZyFjyRsTx4+d85zvfWedCzX/c5n97woHl/IjLQ9YUq4qB - pq6KeLhFX6skhWJUohV7sctWQw9nAAAgAElEQVRRUCjODNgccG/C7aMUAPqc+C7mIkikwHoODHGQ - oBYg8CKJtNUSIWgEgyJxUYXAwdRymFMkG4cygw9MHL+b8rs5e44VxYOOrQ7ACz6pWFFJiORdiW/A - sVKxMaCumAm2PXeOuPGEZy/o2sxtDnm6Y4z4U5XXZPpDh4ufeZd8vwwJ++8yZT31uxzv5xIgPMIz - s8wN5BHw8ecPUtt6XTyiCGgWP8lEln++a4ml0dRdBqlEXweI/AXXV3+XoN1YJvMSRDbQLJYoBME0 - 0yPaPUKepgpZRrA/UkTWDBU4jXUQODrEeeoRLViDy/vQiySkGtOSIFK0jieI99bl+TG1ZqPmoGVr - DllzoQmsFvzkNMLz758wNWwM2aypJWsDLq3yk45vJjSNV0oK0FDCqk5EoMahFYpUQygVU58wyqjG - GOehxXcj8oAMAa0jwJmSZsioYKgYaUZFwhFGiqFEwCqslwwFDtY0qxopUo310BMETeDzOQWsKDQ8 - 7ShhD94puVIwdUwa3tPsNGxN8SVnx5ypqCRP4EHLl9vcfcR+BP6DI9jU89VluQdnUr0lTngP/bzr - CDbEspdPhlJ4uoCBktSPvGxJMSgyDS1ZaP8EjKj0jZHfu6vrzXcFQQmd+PbJ8q8QGl67mMiE67Wm - C9CBItpWtH5IlLhX/nqkfenjIzOERBToCj2gO8TsLroFhEx7VGWZiZ47Bumzlio9PfYaRt4VS9s0 - LM2fjIzrpmV4/k8uMCg5V3G65u6MmeAnp/h4jZUSD5sVa5IdQ+v5aI0/XgeYeSRcGHBpzK7xKKkk - A8moZFAyrJh0TDq0opTUioEmeF42VFHuSiTma4p8+mmXIAVac+R41jKu2KxTH0xBAj21TEzZ9YJV - xZpkrBlrNiqAxiFIrMK557dTti0DyVhx03LoKCQbBZ/WGDAdFxSd5UnDukRJztZsFmECdzqu7XL9 - Hs9egDGplzeqGlqDs9ie4dzgDMZiOmysCWTFBxswPpV3XB5098rw6uhqc0pQarMgxh8z1ui/hamH - 0fK+zSi/YxVh8ROy8mHT9yEu/fQG/O2ngenqlJeypA0KGtTiyUkjYqkiBrh+4kt/Jshs3xVmD7NH - EOl1xFJTQej3lUyysXF+XlVQD3CW1tI6bEh30asSQSxQROqR1AiYz37xvvzled5e4WzNwwnnalYK - Pj6NELw0KMGH65SClzM2K9YKgmCoeTLj4Ywu8PAIOlPU9VCzWlDE6o3g0IKkLBgISsVbIwaa1nHY - 0WQXGVskRMSOfOaOSLTCBuYdlWCz4lTJisJW2MC4YKSoZEyyw7kqNMhZhw8IzxyqfEwKyf2Gv59z - SrGmKSVPGs6XPHNc0YmSHTqOAr85oOg4PeL0mAs1iHAniC+PuPaQh1t0U7PU5pvjnxj9J/5Pl/4b - Q38yAOpihd7TxAFHnnm2pOTXosX4ZRdruuVw+9gq/dxsblJrDidmUi6c2g+qjg0DOtAKjEoKDIAT - dGLBvYuzVjuBl6lHOyEV/QMEImCgjelBz/IMdIKQYNBev2VJ/yeZe7Z+mV8zWrkKi92fyP1iER2p - +PiQIp9Io1ASLalL6gE4Zg22oSsTBy6mFn18nZAoiZAozXzK6OIvLvPxOh+t2QATV7yzxvMZpuPI - 0nS8O+bSiP+wzd0JawNWK2Ydhx1Ppuw03J/yxT4UVQVjxVAl5p71tIGqYCgZKVYKLo94HieodrkH - kIXjjx9MjxRogRQcdBhYK1KAtF6yZyglQjBzzB02iEqKw45nTYqR4g40nmngYctvJwAjxUDwomNF - Mg0UipEEx1HDf9zhWYdrWC3YGLNWEySPkHcarj/j7mOOJv7YSNMuEzz7cXc9CpSkr1xufVyiPRev - STyEfDLHNxyh7VfWa2Zt5IDplCnHrOt7rhZKj5F0gpDnqPbOd+DTnCmyrXZ5HIZdVjMRGEo9N2LM - 6QE7TepmWrwvgYEikuFiJ0D02bDYr4s2yNwhEFuBRX5XvYCKzNYf4dMgUtdLpEjo2DIWCdKSZko7 - pQu0imCzJleG1kUf9/eSs5529slbV/5ozOWBX6nC3zwor+9RKRrP9X0ujbk45lzFF3v8zX0qxV9u - cnZAJfn1Nk9mtJ7fvKDtTFmXlWStYFxhPRsFj+Z4gQINI82np1CSfcvMYRwuJFnr9I5DjgGzfm6U - gZg75p6zZSqNDRVKMHMEiet4KSgVpWTqKRRrJauaWoRZEDue3Y4vG44CA8lIYQVHjoHifsdHJcIx - 7/jNHrdmzDsul5wfUo9Rij3CPSdu7HHjEc9fQmsWvr+LM1160lsGPZ1JoX+Xa5oLnWdPmxlvvYpb - EgzPn8KJ6/V5QtmOy2CO5QDf6f6LzDgqPUNoFVaiPAPSoBGAgBUMl7dpYC4StWEOZZ6CWsZSlefQ - YNwJVNAyZF5YDNx7W49fbt8UFk1chZQGKLmwfsjU0awUFH2/FkkupZCUmqpgUKIV0jES2IYW5jKx - C2O1S2bGjBKZgK0ROu2x0ZlywOkhden/x5vlf/81Txq8YGb4eoftOWdqJh2/2+NUzV+/xaenGCmO - HC8atlv+doe7Ey/Lcig5M+T9NS4M6QKPGl5YinzEbQ4pFfcO2bfMugyHiHSGidgBKtMnJPJMyCju - 8KJh4ikkXWBc8O4KGyVriqHCxnowaNgsWFUMRGi9eGmYOG61TB1VHq985BhqngcuS85D7dluOOro - AqdLLo85u87mkFpzP4gvJ1x7wP1HtBO7GGvXtXQt1uCa5Pi7dtHya/pZdw7nML31L9U6LSkuXTbN - hR0v68a+ab0pAz5xRZmF05c49yuatdLMAeTxKWPhuGgVUMBqnj5Wes6vMKxKbwAjK0woj45y9+pS - hpBmh/VkOEeKdkR/xudASmSnR98an/tBeGXD5OxE5shHKgqdplvbI5ygrTmMRa6wdJaReo4jhUAq - hASNlOAp1lDuecvf7fLlnv6fHnB1jQtDAB84P2RdIz2zwPmaX2zy6WmmlkYy73g850XDzQOAYcFa - yTurvLvGkzmna+5NmVpKgVJ8vMrHa9w+4IXBBmzMUHOyGwGfZPr9hEGB91gIgScNKxVn6zQS5EzF - SOEFjWOsWC+CF6LLytkHTjwzGHgaB7srQpc4tUeBqUc7zkm8Z8/yssN2SNisOLvCmTE/qjgi3JyK - v3/KzYccHfhc4nVJ1sEZfEZ+TAx+msR46zWfI0VCBky2/l7kp4hf5bHO99I3lK8B89851eI7l+4/ - zUjOCYyu8O5fsP81c7c06ms5eslxvM5fgwtpkrYBE9DBqBqiNQYjBq+CQ0VI5pekEeOX7HKYH6JM - 4tL33FeAAgRPOUbXdEd4g1B5ZIbM2ro5YZAZwUzNVDUO2gYXwTWVRCX6P6GyynRsPYxTFKuVQitr - m/Wq1PDvnyAVvzjLXsvTOacUV0/jJL99SeM5P+JnmwTJwyO2Ztydsj1nu2GvoyykEqyVaS6dsXy8 - xoHlyYSguTriry5w/YCnTZYEkHR9LVsiwyIByAhy8hAOtKDpeDFnb8hhx5FhrYysuFBV+IANYhqn - VUtmnseGfcde4KGlluy4NJHsZWBTcipw1PENlI5nEx5NmcOpgo9WeHuFMyWacK8TN/b4+gE7O2Bz - cO8ztbPv7TI5BzB+0ewbW726gInzAQJFSH3uncCGY/0uMd2cCSOqkwXQIfVGfI+1LHcV0SHDkpih - EnjBk9+xf4vDqSnGGfRcPmp8+ucyUp+Wo5MczOmyJ437pz9ATO/4BYCFQQxrQgY6YyGn/3Np3tHS - oSMEzjPbZ/0qegWze+wCepy0R6YiahYnMKqSzmI8Balg7LP7T641s+LihvGeelQWhQmgnNDsdRxY - fnWFseJJx8TwwSmGFV/scf2QtYJ/8wHna/v/vij+zyd8vs+pgrWC+01SCBwoNgfMPFsNOy3zwNYE - azhX8q8u4uHeIVNHKbC503fxwWcPIFnQO3panVagOHDcnlFKGs9VGGuEFGN8i5h5TEDBgeeF4TCw - 47nfUkk6mHiUQEoGivOKHcO+J1i6hsdzvGNF85N1fn6KQcWK4m4QX834/BH3t7AzgzOL9LdbknlL - PQB9w1cWeujyeFMZwBOy9Z/I8JllAah3L/HseTmdnRzYnHgUFEvWFi3QDtIYyd70A8lY49LQGZqW - kaRVdP649YOVydnHAZ6DwDyOoHasrkKL6SiidEUW/Y9+PGKgNm+nCA0RdMK6+4sQSxbZd4D31g/4 - jnp8/tzlZ43h+TNETVDJLqLFi9whIrJmqPV4m2gRhc5D1kOOpkjWr0TaiiIfSrIWgHMIef357KuX - w19d5t1Vtue8aFkrGNXcm3Jtn1sH/MVFJPwvj4vP9nneUEpODZh0iMBA0knODjlfUcBI89TxxS7b - DZdW+ddXeTLj/9hi1zDQHHSLct8xf59ngODTHuh3hYcoObU1p/Hca3mr5YMBF2qOtJw62kAtmQSe - NAiBFXzVMFaMJVsudVlvFvys5qXhZUuwmDmHDu8ZFnww4s83WK0ZKR7B9ZbPnnDzLkf7js6gdJrl - aEwy987iW1ybc9+M/KTo3yd4K5a4XTg2YKvH/kNvvgLl2X1O535AWG9g5LE9wOjZHLA65HDCbkuh - jplWv1I1vjf019KMogfjAlrQFKguaZqMKqxJNbtXsKN4e5DJrf3fIlaCe6Azh/cpkgnHTV8KnCWE - n773819e5H+4UwYPKjOiyTwzckAv8+fYucyNi/L/LDg/IWbV8U9oQhQyF0iB6zAzNR7iBMEz2fWj - 1c3B+qMp00DnuLLGjuX2EY9nbA75yTpf7vF/bzMuuLzCh5pCcm0XKZk5VmCjZK3inSGHHV/vs2+4 - OOAvL/J4xt++ZFxQKOY2DeRcfPZysZf76CgVATLiFfd4kMwDUrCmWJWUCg9PWnYMhWQWuNmwWnCm - 5EaDFmxoLKwppo5xwac1IvCwYcXxouUosKFYV5wt+OVpNgdoFY7ghhGf7/DFbbZ3oG1xHUHgDa5d - mm8XOT8GkzFQm7FRH4WbPN4l0qEO8C3l1S7ngVVgar972NHyOVC+dtfOnJ05Qyjlq0H5K8u+ZvfL - qwgg8IH1Aapi5wArKDRPXySXGscfncjMi9PnY0UZAJ0LmxkMTRwHubD+IPBZ2UooRHVtyu/uEF7c - QFUJFkllr5g2qlyryyFXpIgsc8qXaUJ9PBFIUYUQeEe1/sHpsoMHVvrYHCOvdnkC17jk/pzbh9w7 - Qip+dY7LY37zks2KT0+zUVNIvtzjwZR9g5QUcUquYuJ5POXhBCWoS24e8WjCUFHD1GFCeruJhdTX - r/OxJDIkqvIpFcFQcv6vJZUkCI4cU8e2ZRooBNOQdM+fWLrAhRIE+x17HiH4sKTzfDlj4Jg07Bku - 1+jASPFn65wZJJbJbS++OeLL+2w9i9bvcR7TYKObNwRzjP4ZTOa6+aRZ1pEECmzu6UtfRUjvIZlg - yM2+AMy+35yv/suNe2B6HLEpRZpd15eGT7DR71E0MJHELJk0iBaRM2Cdmar6pN7lkAsFQlCGdFCo - XhgrXXr2acvphSRR82O5V2me/10goAqKYlEK4HjUFJOHnh4Y1RH7wyVktEf2h06unaXqhlkZlu+v - cG+a+g7w4sebvLPCmRFbL/jqiJnjyOLgV+f41QW25tSK//wyKwVB0nnuz9gxCJHKhS8a7hwR4MY+ - XnBhiAw8mDLSnKo4aGiX657HPwAByDSnR+ZZUCIzQyODSEuUYOJ4Yjj0lA1TzyRQSNYKNkqGmqeW - 3Y7VAhtZcY5O8EnNWcmvp2gPFin46YhScn/KOwPGFUpTwP0g7sz5fItbj5kcZVF/t5TvpuKXwXYJ - /7F5tlf0941PSk09wlNEoWJSS8aJOe4/Yv2w4tcPrJT1q8vUhgRVyWOx3Ovu30IhGZWYDpUyHp0Q - zBT5yNwSmdOA0BdoAyGgilyuEsmOBRkV7+toAqEpZFJiIppVyClvDp9FbqSNoT8ijQ+LaUDgVI0T - TBxgsS0blzZGDEu0RCiujDjqeNkCbNQcOPYNP17nrRW+eIkLPGv4fBefB/aZjhcNGxXGMXWMNDOL - FJytGSmeN8x9IrymgVSka4wOIY6DiOMiU6NOxsliRVnLNFxjz2EtLx0GvKBSnFExIWbbse+oNYXk - aYfzKMknNb8csdVSWZRh3/NWybri8wlrFduSywXnFc/gS8tn23x+i+1nYJpU7fK5uTGSnPvbJnc5 - uvzTZbq/AJO1nec93V8iPIVLtIIinMALLv6gk44WBvpapvudaxYQgoFIt/sXXHb8r/dwasAzbV79 - XQK6F2ooclG0k/kL7yyji8NTV2fNAUcPCCHBNXGTxOgl+U9NUaHLdAr3FEpyhENUkF7KE6L2Vioy - SRBUayPN84Y9C84ixCcXRj/a4HyNFVwZsV6y1fBijvU0jruHAPenXDugsVSSzw/YaZEywcRaMNQc - WPZbakXjKBXvjJGS2xManydfxnJQJn2nSxbZ98ewZykrEEst03ELzx0OpEJJVgqu1qwXNIFZhwEt - WJEcOkJgIBho3ik5Cmw7VgNbHW/XnCn47JC1AqepBpwvsYTrVny1y2f3YvDTZLQnU32iwk+Xb0f1 - hygDEeOfONzFZYFbItsnJKGanufyZh3z/1+tsDQwuP9NWv3ePQk/jStvvyyMJU4K8iI0FAmb5fDt - C1dHguvzLk/mYCn4IWHmEQ6SRRK0ytFNdqcyB85580RyUqT99Jw8KSlHLxo6mHaB0LFy7r+6yo/P - IATPG95aYawxjnVFFzg0tB1Tx6OGUnF1wI7hWYsXaJlYXqdrVksOTdp6VcmHKwwV1/Zy+2hYBPr0 - lxzh5pC3QUaf+xOAzFcSff1aUkpKSaE4o/Fwp6FQjAs6GCtmMA8UAa1Y0dy2DDrWHS8tQ8Gq5B8O - 0JIzNXuaHw9Zx33p1Z0ZXzzmwSO6mUnqpN4t6P69mLPNAKjNjP8ukz1T3O8h4ASdTzClBA0m4PoQ - KLwaBcb1e7p/K+igzsHF778srw2IB+JQ6oAMmECRKxsm0lTlK6FR7gmmp7WRbUEgs2fzoFc1XN9r - OXyYRHsSEzUfApEoISRCgcdYgk9WE1mXMRaJFa6FpYmUiIccCEWoxTU7TYUIcT5PJbk6Yr1i0rFa - cGnMxDAuUv2o8bxo2bOUklry1REPp+wbtCIEpOPcgDNDns8Z5BEVdcGq5psjZg4pEw7el3tFH6aR - YqFUqcupkMgng8oDMiOQLyQ6CsRIlKQNHFhaSQ2dpVCEgPAMYjRV4AOdZw32G563DCT3ZvjAJ2P2 - BG+NuVqFB6ibLdefcfMue/uBzuL9ks6hXZrvkhveF31eHhtzgJBEuYs89kxnlh950C9gBIKymBub - 4c4/rD5hyQ+Ry03C/N/yz9fPK58MshPUHhGoBIQU7NUCDyagMuQlBUkVIvQtHiKZYLRUAgqcQGmc - uf38Pu0kWX/axBn+EDkQIsq1tSCSDkq8N1kKmePZczNkPgbyn067KBAaglJV5dp5+3Lnq8PNH52m - CWyUnK/YcqxXaIWDBzO04K0BB5Zvptw5YuIS/zo4zg15Z4XtOaViY0DnWS/5cBUPxqVSLhGNWArE - YvW3D9xUzoNDbtOXKmW9kKTJtUx7IFIe2igaJill+kS9pgusBNYlpqAVFJ5LMOvYmvOk4XLF2yPO - lEwkF0a8VzNBfNby+S6f3WbrGczaRadvZ7Ax9O/S6DLf4E06B/rZprLDB7yj9Yjc8CWjEYh0CLSZ - fC8BFtb/B1+GhNgcWz9og0WBXok+1tmYVpmhrVagM4koVngFVIHO0+aAX6c7Rda5BHImIcXCnSso - BqxepD2imyZoMGTj7jNBshHHgF6CyJ2WUT1Fg1fZ8ctkRyHvt7g9Fjsk1gi1BlcW66fWhgPGJbWG - wIHFBq6MqBWf7QL87AxTyxdbfHNI6xOLlMClER+tcmjxcGHIpGO95E9OM7V8c8CsVzwQqfNZ5A8j - vol+h6qlnCiynGKzCyBDinwiezXpw+X3VyhOgQzMS6Rk5LkAReDIsqq46Jk5dlruzygFP1vlQs2z - jrrioyES/1knb+1z7S4PH+LnTab6RCWfPN7C90JXXer5SilvFjvxWaO7yIO9SMqYjONtmAuGCtOd - gN///qvvBCjhpJjlW5Y84Z/BQeTqhWMl5PiALjDwBJgLTH5KRDxFSM8iUOTQJmWcPp/xib8QIyJA - oasoJcvhc+a7EJNduYjpUYkF1D9XZqQwZsZxgmrkGols60Hi8+MXuUFMRWJ2oZAKQus9Shx6GsdA - 88maLTTPG1ZLLo84sNw4oJScHXBryrVd5j4P93W8NeCfn2WlQgjOD5l0jBU/XmOt4PGMfUvj6XxW - QMuIlM6CYGTeW4z1k+PPsloyF3MigVXmiChaf8S3tGBNsOapJFpSwHrAdTxsOZizYmg6Xhjuzpk6 - fjRiIHnU0GrODxngbwd5b8r1R9y5TzNxdA4i38Fg24W2T2cXPzH37fu8GjBR2MYjYJ6R8j54mIqU - HqxoVlZetf4/1Ord6yutleLb+htPXFGTSiBji/C37KR2SZY9HheFx7okdxckdeY9iEDhcz+AkIs0 - kIx6KI0oUR1uRghZEja7bdnDo7melUDBtM2yLyeVycgnRnQqMnv9/ikyJwZSUZRa0HkbT+3zFZ+e - 4uqoA/Fowkjz8Up36PSX+zyZMtDcOuSzfZpATQJsK8mVEesDZkeUkpct6wU/2aBWfH7A0zlTR9Mr - PgUESMVQUiua2Bolk/XLnAnEmnUkucYVTT+eWHFwqszZMJIVyYagBFegJac6vOGewQnertAijYl/ - 2fLugD8acbdlXPPpiHXF/SBvGq7v8OU9XuxCZxYzTK1NI937IkBnsQ7vsL2+Z+b6y6hslVF/EZgf - 96xTAQLTYSdAGdrvkLZ9Q1bwXQlD2VozrXKhqY9Pvs9aAmVDNJiTdk4Q1EUS1+mfOHe8dZa64slL - whwENjI+Qv77OnZ9S2RuCBaK4NEjior2KIUxgiVnzzEqm8jRi8pbKP5K5vRAZI50UKnqvJwGL3ZL - eqFCUyg6oxCgq49WeW9MKfjqQD+Z88enKCRf7HDzECT3pzxvaDoqmRDJkeJPT/PzU8wdE8eTedLx - fDznecO0Y9bRREQkh/5KMNKslHnqHzkiIsE+8R0oidaZIC0o43jJeHQUSXYxhkOlpIiDp0qkZBiw - licNwIdD3hpwaHlhedSwVnC55rdHlCV/usZ6xSTwueH6Sz67xdMnEIOfyPjv2sR3MLHrN7L/s+Jn - sFiHy5IQHtpA4ZF+wfdcmEtY4v0HTAfiewk7/77rO73+iTjm6y8Tq0zLxS+FrOgCwqcvsgQEB3Ns - mwQLYxJyJOIxohEiTXNJcytEqlt1iuEVaGgPE1bj+9pPAHBZHydaR6SO+h45zxYke7BI4pcby/uq - WbyRjwU8QvZJJ2338Xr5377Nx2vOC2FhrUJKrh/qz3YpBANFpVktsx6pJ3gKOF2iNXcPuTNFS6zn - 6wOEoIgY1bJLiW27irEiwMSlLFZm0FMIhEp7VscZCT7dlgUhSnUU6FhEkwiFltSeeYeVbEoKCQ17 - DT5woWRdMe/YN2w1DBQfDZGSQ/iXG5yrafE3O/n1AZ/d5v593KxdjHC0OfHtVT57Wc8k++wS+OND - kjeUHhmpb8scm5Bbm1+3yO8K0svfXxluCWPtoAsUWUIq/VIgwsmMhriiBdb9dyhwYAR0bE+wgioH - +jYwF8ynFKQWbJu9gBXY/sCKfVgiO3hrWbl6ZV0mXXmR0RCRScvLAkGRK6F6DCWy2ZbiJZFfWYtU - Su2DH7n8yiqVoqWUUYfHmFqV/+Y9/uJ8KGQwjjMDNktax7VdtlvGFR4mlsYnSDSO8v35aX6+wdaU - u1PWSmpF6+kCncN0GJ+sP4jMCRCUigYmJkFDQmZITC76QKVGFylSU4qqTJlDUaBVekPIZP3GMumQ - MBAoy7TFwYqiFjSeZ4ZHhgA/HnFlwL7jzzd4bwhwP8i7c2485M4DZhOfME3rEqvZ9YLmNsncxqbH - LvM9cXQek7H/ONrI5unH372Wj4hvZ/m33+/FfsDyySlFbs9wwNqA4E5APwEt0o/I/pTM/pe5h8FC - J9KAbpGLlxKqrLtjIPhMhRAZGRVRBoTV9fVGQHeY4x+RSl19z5fs67i9L8/kgWPwoThWWU3Wv/Qp - x4tLnOqYZCsL1nhmzZ++VX9ylv1OTKf6fJnIH3//km+OQNB5Hs/YalCKLtB1dJ5P1/nFOR7NuXHA - hQop2DMoiQxJuDH18AhUYFxwdsiZkn/YR/jcmr+ESPW5r4BSowU+oBW6REm0S9NfEQQLglpQd7gO - 46kVGwXDwEuL9QwlGwWXKo4s96a0ng8HXK05cJwZ8otTSOnvBHlrzo1tbtzlxUuwTZo0723Kfa1N - I+46i2sxJsE+scPd5dBfeYLHZL7D6XVGjqcHlN8n6BaLc2BZXTDkSYpFWEo0/xDL5r+oSFzOyr+m - Ar20B1IqH9C5jcEFxCuSvT2nhcQCjLebKO3sY71saQOkfi6JnXHq3bpi++CA9nAh70O28pC3SrSj - kJu5yA00IUdHYmmPLu+xlGoDCqGOZ9UKJax1HB1sbmz8syvse+YNpWBQ6lJiPHst4xI8Xx7ycIZx - iIDxFIJfnOanGzw4Yqvh7AAlaTuKEtEl1TdFCgeA9ZI4Z/JJg/GU8UJytVrm95RqwAU6VrjKDGs5 - NkFWOAEBKykFK4F5SyeoJKcKzlZ4QQhsFKyXnC0wjocN3vP+gKsDWngB/3SFU9LdCuqrli9j6L8F - 8yZLuMW+lhafzT1af4gSVzbLPXSEPNA39PCWpwx8+AGHLfd/kzqgv8t6S2+MLE9u9VJZIPoPu2ws - Sng0ENhrk4N/wwqCmUA7VI2zZZiZJVnQY48U+Uzo7827SSNFGvcbc1wCuma8uT2bMH9JTm+zSETO - etUSnI84btb5KSno79ssDBcAACAASURBVBPlZce/BIAmDYjcMBvvMu3Gxsa/fJuLQzZKdubsdVwZ - UpaMNXXBP2zzYMa+ofUgaB0i8Mvz/BcX2Gu5PWFdY0EISk03Q8G4SN9dF1COlYLTY2YGJA8nlDmG - ESEXNmR6ZzKgNWWB0BSaosAblEV4Cs1IcODxgk3NSsfzOVNY0wwlqwVScmToAm9VnK/YbvlmxrTj - rZr3h1ysuWUZVpwd8Bz5VcfNfa7d5sEDXGPwFu8WoX9UuYpCn5H6ZjL9IabIweM91iXKpw/pI7CB - /+c/YQXVIJe73xwOBSMzILqM6oglgapv1QR60zJdmV/nmHmm/y/OAcEgLGGmr+XEpcNKQpwEAbZB - YOy3WP8rqz128RopE64kBD6AYmWd+Tb2ABEWaj+9I0+xO0ueXiQqWY/9y4j29N1oefPQw50qlY3J - kVnfCSmh86Ph8K/f4fKYUiaZqnFFrVjR7puJ+pvH3DlE590XZfh/tMY7I140rNS8I/j6gFqhJc8a - XGCs0Zou0Dqso5ZsjnjZUEoOmiTv07c2LG7Hq1MUFVJRFgyGuI5ViQXrqQW1Q0gGikFg1zINbJaM - NVJSCWyXZgNfGtA4tloIXKr4dJV3B8wgwCcrVCJcc+L+jOuPuPuQ2czhLd6n1Nb1Y11cEja0DtMt - 0J4Y/LiopO3TVC+ZJ1oXAlQeHEJZzBe97UEgQqnbJZWr70oVTIwFjr/O917pb/U78BU0M9KNVMCI - bO7LR9BSQ7D1oAgBR0m7ZP3ftY4/UCfQjkxarkbokmZvUceN+WCCLKMdZ/6C6pkDJGxU9ilyPjxC - znTj25E5Twjx5CGnE0ui01r++RnODng04Z0VrOP0gB+t8eSIL/bVv33MvSNqhdZ0HueQgZ9s8JMN - tGDq6Fqez1GCgWbP0njWSmrNkUU4mkARuDJioFAV148SgedYpJa3c7y0skxDcEajVL05LTgUzBWd - ovCsgJAcdOy5uEupJANFAd7zTs3bQ6aOew1nC1rF5QGfrtAGJoELIy5obnvxTcP159y4y/5OwJhF - j2+XaZ4x7vdtmusYc1+7NNhLuNiBn8gOdsnTC0EpmHKCsgOYrjy+B/IqAlNJGa02Ou/0FYE30yGV - X+5z/z4rvc6bV1QbxqPFSZJEPmcgnkLQLmnUJVhDAJT++7D3dGLppFRbUZaYecQikzxt76TTm5ep - sCWy/+4xonKMVLTT7EX7GlmyfVi2r4w4iUUBTggIQkruHrE14V9cZVRwquJHa/x6m3/3BOd52bJZ - IwStx4IWnBsw1mjBT9d43PCbXSSsa44sux0CRhpIVd7NkstDSs12w4N5Kqj3u3IBSpGL2gopKAOr - AizeowSTOPxSsusQsKLxln0HJOtfL6hVuox3B3jBruVsRSc4anlheNBwechpiddsi3CjEV885x9u - 8TRy/ftQp2tT9J/wn9zy6wymw/ssAudSTTuyHlI/m08GYeLHHspR+5r9RZDam65coJORIDTV4Mph - a7oqW1hI3ylg4CcbPDpg0iyy0+/Hmzh5sy0vK7Aw8K9af8gTjHyOwDu/eE1bp/Q9xRoqYXx97h7N - 7Hj4F31/DnJUgW1w8xS+BwUCXZMiQpHqnD1tQC1lutWAekDoEJJqQDlE6QVqRC+llAkUfcAR0wAv - kCFuKxfC/Wl4d4VzNY8njBS3D/h3W3SOljSBOwiMQwrOVpwfMtacr3hpuLGP7fBxqGgHnpWCQjBx - WM/ZAb84y6Bip+PujIlJrAfRI7qR0swC460rhoqzgfUia2N6WoMELekcR5Zty0ODgVMFpwpWC2rF - pKOSfLrCUHNvyk7D3CM9mwUfjnlrwLhAaaaC20bcfMm1Wzx+BPM26/pnmD/y+41N+6EnQYjM+WlD - quq5XO0S4VWe8LcF/eGk4V8mgOStVUp5ssOONZrf7rBnf7D61RtFRRMnL66YbPTdXmQcJf1TLr+p - xWsKuXC4y9d+YuU4JcGyj9YlUqFsEsSNAY8uET7B42GJ+kYfIEGh0UM6QzdD16gKJbEOZzPVJ/cN - 95ShRRFgEfykPLwLn2zI/+4Dtlq+PuR/32KvZd8gYb9j14DEBfBcGXF5yL7lwYx9m770sQYS9X0o - GWscNC0BhopnLb/dxXsODYWGrGjRl6p76FMFioq65nxgveSFx3gqjWpZEyCZWjwIRRPwMJSsazZK - fGCrYSh5p8Z4Pj/k0Sw1e54fcmXIucKNtNyVwsC9jjszvnzM/cc0sy5Z/zLbOUQShEk34tivkBWe - ncP7rLntk8/rIjgoEIHK58Kl+h5pYgDKYIytOZwtJKiWHx9frYIVj/leLv+YFfbMX/qRAizy4EjM - Fn2hilzAyiWCuaQIKI8OtBw/Io5j68YlVCa8eufy0sn6JamTy3f4zPmKz+/miSLR20hf3O1LY+WY - 4GmnEKfDtziBzxwDkQ2dbPeLgEogQIfeD4dAreSVVSaBI8c3h2zNqSR7BmAeQDBvUYIP1jlXc9Dy - cIrxtDVrBVpiAiNFJXAKAoeWw46DDgJfTTiyHHYUIg22PAbV9uBtPA0k9YCzBcrwuGPuKDRrgTJg - ApOONrBWxg+R9YILFecrfOBBgwv82QZjzbVD7s2RgXHBmuZ8zaXSD5RwIhwh7jpuT7n+mG9uM93v - sFm21llsl/iexxSvTMJ8Yv1LxipYwOZZ1os232VrCARBuSRSsoz0v7aiUy+PDoys34SY/lDrX7qg - b71nVDNQHE5PFoYIeWNIj82tzPHVWnECk+97lP50GoItFaPTcrjqDx4mUkMPa8ZQUmWLj28g3o7t - vOWAIDGzlB8H8DbhQqpvMpZp6tEi5c0fhRIRfIyexXveXuX8gK/2eNpyf8ZKydkqjgFlJLk0QAcG - BYcddyZsz5l3rJasFlSa4DlVsFZiPdbzrOVxk8arNY59C1CJlIPELdlv7cToy1xOoRhpBvBSMQ9o - jdR4yVHLgSVIVB66tyK5OuRsiffcbZCCf7rOQPHbfV5aCKwWXKn5YMylyldSCMJLIb923Jpz7QnX - vub5NhiTVGx9DPSbFP3bnvIQU96+MJxbvWLDew91z5fMKwiMTBNcllcZjhEzX10CMHJQ+naBh36f - lclwpW+MGCbBuTc9vg8lgMjx7LBRL/HNT1ySeSs8JWh5bM/35QtLGvPB8VaEQYEIzLoYAmmq4Wi8 - OtDs6ArX5YJXDrwS3zcklLNn7ziB1EmXBreARPMQ0hQjiZ4PlyNrv+glEGIBlkKK7lYKXjT8eoda - sFHioVJcGrBZUCqezrh5xIMjZo4usF5xeUAtUYHLIy6O6AK7ht2GbcOso5TYSGAOKJ8BJwGZvCdz - BULFNgmFl9SS8y2mxMVTV1NJpGPSJWEOJdGCUwXnCzYKTOBZQyH4i02uVtyccOhoPaXkcs3P1jlX - +EoiRXgp5Q3PN4avtvn8Fk+fgmlz2JNnWbvM9nF2oW9le9zT0blEfjIB0XPd8jbov+xe6OLNYjsn - LSOrH8TfXzxRlBSKMiBsGdrXYKKQ4udXlhWYDhyDN0yCiY/M1t/C0DMUhFznWq7cWSg1I8Fet5jq - l+5ymQ1axqG461eGPJxDZ3I1Sqb/9qyHCBYd466B8Hib4zkJsaSQOy8j3EmOlEI6UdL26BXlQt4j - Cu0IgWcNX+ygBOdHBJgFLpR8MObygN/u8ncv2ZqlIkAtOVuzUtJ5Lgz4eJ0Vzd0ZLxqezTmyqbI7 - VvjAzMFyDpK/h0UaELOhgOzYLCk6xgVOMJUMBMOAbaJQHQgKybrkYsGKZt+ybWg8v1xjU/O0Zdox - sTh4r+ZHIy5op6QMkn3B3cAdy/09rt9j6wndvJ9e0WG6RPbsKQ8+TzdyHXSYLsU/0fp9gJDoe72O - lc6BQUxwW5GBvnTslt4YlmDNY6v/1Q83/R4FEhJhmIIQJgwWDwiv/IlveQW3NJm450O8Wn6OqD1Y - yX5skfGL145/qIi0IoEVi7bPuDJ8pCkEQl0ZlueHfL2zT+hSN2FfB+pjmJANWvZ0oAgjeCQJMor2 - Re6cVSR9cWQqYrjMs5HZ7+YCWXy4kMw9n79ACT5Zx8LTOQrOlnywghA8nrHT4ANKEQJrJeMCB7Xm - ypgrI/Zans25P2Pf4qMSjMZ7Jj4Hd0tMB5mRrVTgjlw3hwTnuRe4YjklGBcMAkdzpgYnc3lYsqqo - BLuGZy37lnMleF62KIkNNI63Bvx4lc0q7AYVPIUKzxDfWO4ccu1B7HQx2aPbJOfmlgU9o8CbW6h/ - htjj67ERE3SJONmdNNQxmV1i+J6A2b/6lN/D+l9ZVpRFY+xxZebv2V92DL8PqYD/yi9ff/Dye+mD - K9NhAoiTWjEBoSnDxqmLf36R//k+tEcoBYJ6hLEInwKeRUlOJF8tI/NOIQeEQDC5C2yZAQqw4AjF - FmyVlDVj8VeSBhCJyBmWiW0mFedHnB+xPcd6rgy5NCAE/tMOtw4wLqKlDCSjAuMZSD5a5aNVZo4v - Drg7YdIl6x8rgD2b8f5cd15Q/PsbirKgtHiBV0xEEnjTjjOSfZuGKRVLs9ObwL0ZBx0eNOy1PGt4 - Z4QWnC74xSk+GPHB0D9zcj8wlux6ccPzzYR/uMuNW+wfRJ5WS2cTrT+q+3ftYqpFa3PHY9Y48R7j - wdEFOlKfu1y2iTzHt/+dCMQ5WS2UGFudtFuyJS3kwb73iuTQ4/DmP2bI6fIq8mkWmQr9mzGAp6ww - DrqTZwmL4//o0axX31TQrImfn+HJHD/dQhXI2MQ4ophm+ZOweNGQQ+YQq2arCEk3TzLrIQOdPakh - ltJkSnZTgCSF9DH+x2fmfYxFhoqNivMlnWRqeWl50TLWnBlw0PFvt7i2z06bygYFjAuGklMFH67y - sw0ax9/vcnPC85ZCcrZM04eft6n4lkRNModiUcmQICk0G4ph4IlFB6xiIFCCSnHYsW3pJDqOQ/UA - a5q5Y8uwrliT4LlQ89N1zlUIwUgRBOe033HywDGusTLcduLulC+2uP4Ney8pS4yoOdrDOzqTs16b - fiILKORxv51LvY7WIx0yD3V0HiCINFErMmqakNRBYj+u9HTQak7V4DHTJPTZdzUtTEKAoFiaCtN3 - 9L5hGZ/6fXsfH8Q//hRZNv0ev4+xkA6UgRa0xgYCxpY5+DlOWzr2jl77ZV6a9zafWL7aMwnjqMbo - EjtNrxgdeZoam1Ph2C88Wh/AJKpAeZ8nHeVim8iiRVFaX/SvIGIEokQm2ZPqDZMOrbk8ola8NIw1 - bcfLOadrgG8OuHXIjs1UfqgVQ824ZLNmveSl4c4Rj+dMDIXi03Uu1FzbY7uFXre316bIwU+6GIku - WR3wnuCBI0hGghlUCqVRkmcNE08pE2m9kpyvUbBtqQIXK1YkI80fr3JlkLryh5IDywMvtWZYIGR4 - EMTdlpvPuXGHnR1C05rG0xmCp+uwLuub91NN82SXOPOULqvbBkwWBnRLOd/rWWOaK+rYPIUTiANW - JF0oj4xRg1cfvLzMqwz8N6xydmj+5J9wdMTTJ9/RFRk8pfhuhkLf77ssHlpEjAu0QEjsZCHrEruQ - wreTVMW3HWhCc/b9ELJrr4p6WI81O80IcwhLPSNkXhgBb1k5/cE6ew2TWQrt83UcR9RZgvwzqqok - 0hNkms0cz5PGMVL81VnWh9yfsFKy07DdUisuD5l33Jswc9QCrZlZRppLA1ZLLg05XbJveDBj1wCs - 1/x0zIdj/vYld6c4KMTikBE9tyPHP4WkrChrxoLtOc8sK3l0YAOHDgwziDWKGPl8sMJAcG+GhD9a - ZV1ztebtERuaNhACh4ZDh4FScrZEaR54cafl620+u82jLVwTjTtGO3GmSzb3nuzQ5XnucW9EMazg - U+9In/jOeinmY99xGVrjSlzUvZrTgYGnU8DIYXpwROoSUyCUxdzYQfJlfsmXJar8yS691K2pLULQ - jNidJT2oVy0tF7Dk9xDYWvhscjgdICTu5yzZ0WI8WfClNt/KMnrj8aUp/PMWuilCU628v8q0Y6dx - Wao/h5JCIhVCozxerhS8mDDpkEJ61yVZz4jtLDcDxGJk/jhkKgcQJD4kTVlg5qHz/+o9+a8v87c7 - TDuOOpqOd0e8NWbfcvuIxrFSouClZVxxechYcWnIlRFzx3bLtKOQ2I5TmhL+wzZfHGADpUpBjsgi - Vn3WqyUjTV1RaGqJNTx1DAq0YD9yh0IqIMwcA8UpzdRTCSrJ8zkCPhiwqnhvxI/XOKPDnhXWcmix - ASkZS9aHFDo8DOKG5cYe125x715MfG0qb0XcM8o7d4auoWvoLG0uivXQZyz6ek8b8J4qam7zbaCK - 6TKIKSRNy0y80asLCP+4qH0y2ix/c91UA0YqqcufzHWQKEnwC57lias4bq8p4giLVsaTlrE6Edh+ - 4NLMXuzNV/CewXitklrwKIKhWhPAeUKX2riURpcQCM3RzBRVKTW+82lGvOxJ0dHu49UT45/FYRAx - oTj9SSEkLqAdP7sg/8sLHBl2LXuGieVX57k44O6Ez3aRsFGzUjCzDARvjTk7YLXg8gjr2WsoJAON - 8VwcMnFc2+fpnMZR5j5d+vQ3JLaPENSCi0M2alzLtGFbUBWMPQeARnlGoAQTT/CcrlnR+I6xYrdl - 4nirTkMA3h1xSripZebU3+/ztOGjMZtjipJKsx3EN4ab+/zuLnce9tbfz3Bvc8CTXb7tksBJF2fa - WULu9jI+iXv2/U06ioOLhETDAoXrf2NkStKXGqZKbV7l5ERKQnjtN9EK3+C1rTCiBl9O5ob6BOuP - dmk9InJU5eLVUpQf9UvCQu6qnx1qcmhXfNsViJSlvH7sfPcKmt2GtQZV1VUp4atDusYk2yT2U0sQ - SEFVlbFSIcv1kdaCPQudy2nxUpdw+tzSNcUL62VQQk4KIqHNC/7ZBf76Ki3cnfJ8ygB+coZ3Rlzf - 57e7ae6iCygYaK6MeH+FSjFWFAoBpyvWNVsNtyZMO44c2w1zR5FZPfQChgElKTUKbJwOrxmDg4OS - 0lNYDqEL1I6hQAoaj/WcrtLky3MVlWTHUEpWC15YrgxYU+GFUxPDjUPuzXh/xKUxuqDU7AVuO+5N - uH6fb+5xdOizVrNZuP8Y/MQhF77F55pAnOwiXGJ99hMd+/a/yJMpk2W/iWX5Wht7fuRxo3k9WHgj - kWaxKkAYkay/1I2xJ+UYx3bgMiYrIeoWHm9A89mQlp8bvsf1LK7/TcdCqRvNy5b3pRyMSkXjiEJU - eIXIKvIKPBTDsaJzAKsDvVJx2OA6n4OfJa9Pjvaj71/i2Ucsq2dDRVWOMzX/4hJDxdeH3Dlkp+Wf - n+Mvz/G/bvHbXRzUCgLrBRsVmzVXB9SaWjPvOLLMHI1POrhDxWHH03kKh/rG9ticrPP5I2MRVyFL - dj0DzzsDEDyZ8MJjYF2naK3zCZu6OuBZyxBOF0wcBC7VdIFVzbrm3kwMFfen3Jvx8ZiPVqGkLjmE - bzpuT/n8EV/dYWcnCzsnbk+7KPd2NtE8o8pDmunSIToanyKfqCQqAj5TAGzALXLK16xf0MpXI4r+ - rpDt4zvXK9Z2Iki6zLU8IeYOxx8mSPPCjq8SEl4eY+njRb00os+fYP1R78go6uP8vO/SKTJ2oGkD - g9HVFSYthw5EQCoECW2IOGU9Oj0QXWDmGJVitcJaJpbUudC7fBFxN3q0h6X5ilqkjyI+0Eu84HzN - X13gwpD7Rzye8LzhXM2PN/j1Lp/vs1IgBBreXuHigCsjTpe0nlpiHTcnbM24PORczWFHF+g8dydM - O0q5CHsW1k/CsYaKzRKtMZKrNR+XjCXCMSt56tiI4AoEkaQ6VkumjjXNmQIHO4azFWcq9g1jyeMp - IbBnuXnIp2v8eA2hUZoJ3HLcnPK7h3x5k2fb0MzobK7sGpzBZHnDrp9q2uXHZOgzdjkKn6IgESgd - naDQzP2ytZ1wApTR02QrP8Ea3kQL/VZPG0OjY1Dj0r2vPEnIFIyVASRBvZGGtPxEQchFgKTgfVKx - r/BMJSs1Y/Nq/8Abfb+Z1hRo1osfrSpZsGVABoEKAfCEDh8ldscXBjIIph1jzdkBSJ4ZnPfIXsmQ - RQCag/5ePUrksS/x04nWv6LQkp9u8ItNXsx4PGXXIAX/ZJPb+/xfTykVo4JBwZ9scHHAWokWHHRI - yaHn5iF3pgwEawV7FhMoYMcwsZQyNdvITNhTGQVSkvWCD1c4XfPYcrHkz0ZsSLY7yo4GRqAVjU9n - hRdUkpECyYWSmaMKnC44pXk0xXo6zZUBOy23jrg05I9PMagIgn3Jbc+dOdef8uU3bD2Hdr6Y2x5h - /sVAO5OKACbHRT3wbz3eI1zqeo5snwBOcX6N7pCDhZqnsVXs1frWL37ZI77B91sYCoTA+HxWHDf3 - 1/dF9m7pSrp6wdNcLmANKwaaWcuB//aY/sQrj39FnNCnb6FQ/Oxdbj7B7H93h1oQiGBsRRmxnR9/ - cHHM9hTfUSCSIlVwOE+wCLk+UKpg4qgUf3Sa80NmNsL/IKVQidC2CHdy0E9WYlV+kcrrXHt5f43/ - +ir/zVu8N2a7Zafl0PD+mNWKLw8oFeOKc0P+szN8usZ7K4w08w4FLxp+/YKbh2wUrJVcO6BxlIK/ - 3eXuEUVWbo8F6IjkpEqzYlTx9gpvj2gCB4GLFW9XOMW2Ybtj2jIUmKztG4febZSsl6z9f8S9WZMd - SZqe97iHx3K23BOJAlBAFWrfumt6m2FzFlKiSTa8IGkmk8xk+gH6OzLTnX6ALmQkRZlk4gxJzaqx - 2bqrC1XYgcSWCSCB3PNsEeGbLtzj5MkFQFZ1D+WWBkucPCciTsTn7t/yfu+r2KzZqXi7xZczbFSU - jpdBqVJxa4AX/HCWuZxUUib+seNRxd1Nrq+yvoEZ1zGhaUxT7q0Pg13dIEDdxPQdPgD9XfSCYjeG - a6Djls0+Y0PqIguin+o0f41x+0kd5dW2lsK5S/zo97qjjUP7c1PlJHFiJRYNB4k4+eJkmxKMDAc1 - I39WEtJDqPrEowUhs7SemuQCHKvPMUO0fKX1h1abNqSCKsGH0NCroj33YkStSQVCYEJiLaz9Ik1b - 3ZkM58BzqUMv4dmYnRqcQ0pFbB1zTZIgZIqFb5yfRlpCNk0B0SkXdBRXe/QUNw54OWazJBW80+Vx - n77mXIvzLT6c4cMecxmVY7PkacnTEWtDPHzYY6XgxgEf9VjM+PfPud8nlzEXlRBRFQFclEhyRS/n - cosfzdJ3PK6YU3xaMJNy94CNkuclXlCKGG0DxjKb8XGXluB2n23Dxx3akrUxUlB7lnIutngypLb8 - wRKXOgjBSPDQi9WK25tcu8+TJ1SDJt0ZE52Nt+MCzqfClWjd8F5NqVpMkj+iKfq6qY7Euox97qeO - NxSkXj0BQlVyf5u6HKjFV77t9M++qfbbNtSCtIEun6K59Iqpe7ifwARkcfgFPU93ycQkH3B8pD7y - stnAdk7M8YCqLC8rOi1qi9HUHu8dIi2KvJNT5MykpHC+zVLBds2TMaVxCBHwwK5JkUX226YfVdhG - TK5ZUEPK3zZOhYMnI9ZHPBmyMUZK5jOejnhZcbnHu21WCj6Z43xBZegbtmt+scNqHyH43SWU4G+2 - +XCGruT/fs69AXkSWdEnHQey8fvDDVxSfNohT7hbYSXvF1zIeFJxf8hOReVDZR1FFLxsJ/yrc/yg - y1/uMHZ83mNZcbdP7WlJPpzjvQ5PxwjBP3+LL+bIFLuCB467Ndc3+eUd7j9g1G/QnZOo1zTEhi4w - e9ZNIWxCbm7xltrhwQXXP9xiQFA2EZd/tfVHqzhhTMF/eH3gG2x4MGJ3SPb92KKPej4AZK6q8w5t - hTeMHAFtEbIikzlgiI1sxXR04XGeYZQyyNLTPBwhyGTMsZ7yjZo8jU9RktQiHCOHhhzlD54tLl5w - gm1L7TBGA0Urnc9pZ5SageZim3MtdivWhvRrkEgpRACANiG7bL57qDrJhl9/klyOG4BnJWcpp53w - aIBx7NVkgtkc63k+ZjHjcoe3WrzT5XwLAdf7/GKHa7s8H9PO+P1lasff7vD5HErwf22wPiaTUeQp - mn7T1CInBS/JrMILbgw58LzX4pMWL2uuDdmrQZJLEhch20bS8ixmfNjiUcmdIZfa/HiGr/Z4OCYR - /NfLfNrj4RDh+dE8n/R8N2FXiIeeVc29Xa7d5/6joOVYx5xPTPNPGlzqw2lQT/k/wiAMxiI9tcWa - aEl+sreGHcC/wfp/3SGij56Cea3HdMrwHDpD8YLrIotdLJrXbVzHr8JjoCXpFOyOEd8LYJcGbzgB - SWoxFt0UlUFRdGdzNsZYQQV4TZrmQfNQUxmKnPkUbVgbslUBlkQEJd3QKza5O+HpHDaTySkYlYSG - VHA+pZ0iJSPNTk07YblguwbBWy0Wc1JJJ2Upo5A8HPGXL7m2x9DyyRz/4hJPhvxvjxGSjZLre7ys - yGQE2gVfXzYot0DRkgi6kpZi37JtEILLii9atCW3x5SG0qMkCJYUVuKbfez35hh4/m6PXsLvztG3 - 3BryaMg/WeJqm2cj9mve7XKxQ1f5keQR4rHhwQHfPmL1CYN9h6kP2TynaT2jgFcd8Q7B89Em6plO - +B30RM/CMyYSHceHGuYDU6wHZxhnSXpOD00MibpnohiZPtNkxYsvpAIMBwYhyUL/ijiy/NPox0zy - thO9zlShVNPl84p862u8Ly9IE3yCd4z9pLgAUAtFZ2ZkqS3ekSXUsl0kpDJWqRZbfDxDkXLngGcj - jHXRy3ZT91w2SaBm+Rc0/EAC6Q6t3wnaCSqhSBho9jUtxUrOrsZ4zhcUkqFGeGSXRLIx5k82eDLm - Qpv3urzf41c7/OkL9jQtxY2DiGGeVJppTD9pNDqAQrJcsJhRpHQSzme8m7Oc8NWAm2O6kCTMCjqK - LKUKUEvH57O83+HPduglfDpLR/IfX6Id//0F/mCRxyPWx1zpcL7NUuJKKe/CfcP9fX71iJsP2d2F - usbXkduwNtgKbW2mvwAAIABJREFUWx3SO9dNMKCnJO6cQVisR4cux7BNhzJQqB26I6vxd0IsHzWK - 8Pk3vCeVXF3kxU6UJf3eI2061I4xSx+bVEECXrkY56SQeBT0NbpJcL4m3/qqIUKpxEGopk99LENR - HgyrmSow6gmWUvIU57Ge92b4yRLWc+uAR33GzjWGFhd4jtd84+qLIAkckh4n8WA9eUInIVTThEd7 - 2or5jKHBebqK3Yq9iuWMj2fopWyMuTfgwYC3O/yLCySC//k+13ZIJHkSBYCLBO8xE1Bn6OiVjUQF - 5IILOV/O8V6HlkIFvTrLrRFfHVA7VjpcTUklLcmWpV/iJD+e55Muf7tPLvhkhsWcf/cUBP/dRf5g - 3n7TT77tc7XLhzOcS60W4o7jtuP+Pl895PpdNrdAl7F51038/qrxfyq0xpdTRJ8mYt10k9IRYGxE - +QfxpuOL33ey/sbchQIHBuXR8kjn5KnH9J61XUaefLq6dFoh7CyjhtpTizilhT/0ECaw59bUHuWg - apgYHW/2mk4nPhGxNBbaOHwDjoof8RKtjaRylI4koZtGkoFzBT9aYDbj2ZjVAQcBfJI0wOKkKalO - tblPMu5J03I+6TpvJcwmLCjmMs61AHqKnqKvGVhGlvUxDwYowSfzfDiLd5SeW/s8GvFxl0zwv69x - c488oZ3ESl0roUhix+Mk3z/ZAYIv1Ev4sMPHXVZaXOnwSZdWwkbFwwGF4MseX/SY65AHpi1DJZhN - 6aT80Q4PR1xt826HjRHbNR91+aTD6jC5O+CjGX62wPnU1kLeRt6zrB5wbY0bD9jcwpf1lGJXALqV - 8ZUIdQ5p0IAFsodi7s5RBR5zG6ubgBE4aB0NXr+T9WcZWQHgDbUhK/jkIy68k5WDo6biM1Uee4WR - O8Xy/Kus7fWX4Ukhc5kqs3Qc/m1yuA5czNVMjlw3Z9FvWOwzVUY/8ORPqGCIQBl/8qYJBd6YmEKZ - z0BgPCttfrzMXMH6iPt9tsvQDSYQIjr6Uz5e7JX3sb8xScgkFpzAOST0UhZyWikdxXLGbMZWTWmp - HQc1I8tOhXW83+HzOd7t0EuZbfMnL/nrbTbG/O021w+4P6RIKUTIU5FI2mkjeSgjR2PINSVJ/K8z - tGCpIEkQnvkEJ3hSsjFCCd7rstJizVEblj07ng3NYgqev95j1/DTDm+3ST19yw96zCfsal5UXO3w - aY9l5QzcQdx1PBxx/Sm37rP9El/VEcfm6sPe9mD6EefcSBtNTL92ESPpHK4h9LSOFLQ4vfx5aFdv - MkTtmUtRCVt11INRHmPIpnyRGK+KQ3jC5IxHuKXCDDxNUvq0K5s6dBBiijnKWmenXPY0Eo5XJ2qn - Ys7Jm18XGU+vGmEXzYiToxZoodjZEeeXFlIUWMHQ8n6Pny0y32J9xL0DNsaUjZJo8H4mSX3X9IfJ - xvXPFS0FMA5aDZ7ZjEttllp8PMvbHZ6NWA2JFzgwbFeUFgsXCn57mUtdXmjmHP2Kv9pis0YIru+z - kDF0dFNqi/FkgsUcLxj5WO2STV+LnEhteDLJYsFSQUchJX3LesnWCC/o5Sx3WNeUhvOSIdztc6Hg - LcVY8GTISsqHXRYTVkdYz8UWMwrpeafN24XrJMIJf1sktxxPxnz7lG/u8+wFupwKdiec5qZh87QN - 6kHXcX8Isl/CYoOGBWQOaxk3xXPfeAinNnucJfzNJHujaDgpIBnW3FyllvVMt0nYTPyQKUMTk7zT - 5DX5SpTbK4eHE4oyJ7HQqSBx09Hp6WMS9B8eOzh1ASzxphA/9iQ0x0pF+LzMU1oK7RhoZnN+uMh8 - zsM+qwPWR7GXHC8OYW0+SgA7e4hslYL5jLmU2rMXCGxgOeOzeboZI0sieFFya58nI5SkrehrvGA+ - YzHl0xk+nccKbh9gBHs162NSgUuoHS8qlKKAypNL3ulgYaPCedKgS9cUDcPvIRO1UvDRDB3F0LJr - WS85GDGWjFosZDwzlJ4rGWPD9X22DUPDZs6Lmk87/Mtl5nK2ap6VzGbMJSyltBRLqcslBm6T3LCs - Dvj2KdfusLGGrhom5wBqmDAZhqjXNM7PZCsISqZeYzyu4TUZ20N6w+kHqnzMHs4VDMYRD1efwQ8J - Jn4kbSrQyeFS6t9kdlPj123zPTZSN+EtjY5EfP3VtEXHt45AFXoGzaYjZUEJAgXKKzKVSUaGkSVV - fDqLlPxyj8dD9iv2TOy8o0mqxNYqB0RrC4mJ+ZTlnNqyXzO0GMelgj+8zAcz/O0Wj4dxLnmPdsxn - WEsnoZDMKd5rsdJhqcNOxUKOcFzbY09HePVEyHFsABYKkoTtktojVWPuMoLe5ETUCOYzLnZQipc1 - fY0wPPdse9pgDBcyPsjZGfLtkC2NgD68GKM0X64wm8akWTfFOFYKMkFHOSUBf1PKW5aHI755yjf3 - ePqcqgoiLpNa77TRTzX4Ro5/GylPsFQe66ldVLARkLrTl7MZixH02owqpCED7886B4758cf+6848 - A77POJFxmrR3dRQo9uusHtcmDxaJg3HzzuNbx8ljN1QontdVviEe/PAaYiVRkcnKsV3Szfl8lgtd - Vve502dYo31swKDRjo5ABo+XCB8Bnt7TU8xl1I4dw9BiLcsZv7fC5S5/scnf7TDStBOyhshkqcA0 - iuXnCq7MISSrB2yUnCu412d1wMgiiaiepMnxJwml52XFyKOSw8ZiprgepEARP2s9lWerYmzYcTx3 - EQj9dsFP2zza56shQ93wtliqkks5ixkvKnJJIcklb6VIMBIphMDfk8ldx3rJ7RfcXGXjOWXlplrX - GxLzUOcK/2IOuxx9w/DjbRQwDVDnQPBmToP7hhHSamubZPxDCfr+A44T06B+9bf4biJ8R9lFXzVS - d2T3CPxzKQrv9ys6KZ/P8sksa2Nu7rGvI+teWH2TBvYfwcwCGo0Z52lJ5jO8Y1sz8iSScwWfziAV - /8c6D4aMLZ2ELMF6VnLe7rKvGRu6CfMtPpvhJ0tsVfzbdQaGpZx7AwY6iiEkPoKUREKiSAPfDoiQ - 7SFWGw75uwSppJ2QSirP44pF2DI8HGMTipTZlA9afJ6zNeKbATMJgxoL3jHQfJDzP17FS/ZrzrUZ - ez5r+5EXA8d8QiHtHanuWFbH3Njg63s8fcpwSFPr1THXaepI5hziYA8qpR6hK7yOYAfrDsNf6zCO - stHxnRR9wwOTjtxTw74k9fQcXjCUZ1r7Tw4d4ZDfp5D8mpBDgfYIEYOEsFZOU0ucHAK0ptYgapnH - V8qzFCiOXBPzM9RjhgbAuyi11JYg0S4ypU6GhrEkFbR86LJXGDub84MFfrbE2phfbLKlSTxOxNzO - NJ5ncm2y4UbJJYsFUrJdR4joXM75FnuWB9toT0uSJ2SSoSaXdFN2anYrugmXZ1jOmS9YHfL3O2yW - LOY8H7Ndk3ikJE1IBE7EhuRCkUlqG4mrZMM0HLSkVNPs0pIstigEmSJP0Y49G2WL3m/zUYvC8e0O - 6xrjeTyidIiEyjAn+G8ukqa8HLPSopv4Lj4RjKyYL1gU9rZUNw2PKr55yrV7rK8xGLkIbzaGukKX - 2Dq29uoG51zrWAo4rAHbCHUOJD825HymrJ9AINnMAeExU3QjnKGv/D/nqKCSzEtGptZZDEznJbv2 - sG89Dt8oFAVAx9FvoZtGTXE0Sj4V1DR53Y2pQQcKVEkGadAJdihxeAPjL4L6CBBD4cSHs/xkmU7K - r9Z4OaJIERJv8ZPunKnAPZYvGrbcmRQh2dOUnkySKXoJ2lFqUklXxegiqFivFGjYL8klXy7yX13k - +g7/4Tk7JQPPe12U4MmIPCET2EaJ2oBMUAm9FOPxJn6RCbA0rPohOEkTuikzKS1FT2I9dyu2LEXO - fM6nBRckj8Y8GIPgWcXIIhWVBssfXuBSh9UBixnzCYkgFby0ci5jQboHIrlteVxyY4Nr91l/yrBs - 1v5pCpOoZxFAPhqncdWUjGnwfxzeIV0UMLU+amrbKeC79+Se2pN7vKDtGQEwDM/+e9gpEMhFzrD8 - T5yKkxnYk/WyzJNKRE5qqcEbcNAjq6jNxDXPXFmLFis5I0ddn7rMx4aew4A4XMOUuZ+8mmcGGimA - SCgkJ1WA5mgCIyI7aqcJBrQnQ7Ew+8ks+xV/8pybuwBC4CBNIs9SOIs4PGEEGCtibXVgGFpyyWxG - V9FKYq9trhhqxobSkCt6im5GS0Vu55mc9RH/6SW3D7COxRYeNsYYz7xip6aGdgKQpXhFoXCgGz3g - ZEJRJ1ASJfGQSZYLWkGcQlB6HtXsOMh4O+PzFjOOFxUvSrRjP6ASE7Qn8XzZ5XcWuD2ghA8zutIp - KUZO9hLmhb0r5G3Hk4qbG3y7yrMNRmO8bbAME06r0NEbaa0MBG0LG03fG4yNRu+aH+lIPdbjg+JN - AhyC+4uG9AroOLxg9zsTHxwfZ3R+XpUdEicoFoXAGExYmST4TFXsVDRM62HUujg009c2hcXWtmMJ - n5MxbkjGz3pwSBHNWgm8REvSUEd3eBkdv/DFp0PhGrW4uLA+YG3MvX3Gliyh9hSgFAPTuKPi8IxR - 76uh5hw4xg4PUlAk9DJSSTulp9jVbFUMNEVCIuik/HCei23++Dml5S9ecFAztCCQCWPP/TFlTS+l - b7GNC9TOMAm9DC8Z6UbDfYrZXAnykNQSvFVQKHZDIOoxggPBuZzf6fFhzu6YGwPGkqFlqDlwGIEU - tB0LKb+/zJOS0nE+51LulGDosAlz0t8TyTeWpzXfPuOruzx5zqCPsxOMZ32Y7w9gh8PUp6aeRALB - +oPnYxs5o9Dy4kihaLGY8XIfIaPCac1RIpPfqNvT5NZOf/21nzpi/SGiqMjScmLutSlOYWDJAc+w - Aqin6PyPwDE8XtT6hPUfG9ONzsmkLNBsFz5BJXiQDuNiG3FoXhHH5YSVF9zts23oO5z0BtERtBL6 - ltpCQ/JwuB/GlBPWYQTWxxxaltDL6CraGRc7SM+LkkxyuYcSaMcXC7w3w396xv0h3uAE7ZQ0QYd8 - joz9n7WlSKglCHoZPqGTcaXNzpjSIjiUJBaCxNNKyBNqWMpoJWxqak83w0hKmMn4aY9/2mN7yMM+ - TzROMqzZt1hBIcihEPx4jjXNvX1+0uXjrhfeD700QrSkvyvETcPqmNsv+Oo2T54yGuOspm4EvOop - 06+DLzRl/bpRdjGN34/F+ihdH9b+cFvf/YD33uXf/pu4CQCl/O6Ig7ONQzfmlLj2lfKPh2t/M3si - AEGQ+5ozlAi8I0vRIlNTmr7aR8fdixgV+DftcseK0UpgmpK59mAjCaZpvqwJPekS5cim2ga0UDu7 - O0vFwkhjPQjfVaKnGGjGGkTsYpHEpWIyGYIOZ4Bp4ckV7/T4rQVmFUVCmrA55r0un8/Stwwsn/aQ - kv/lHvcO6CS0FN1AOwRzLWZTWpLnA0pYyNk3GGgrNOB5t4WuOKgRCYXFBDVKkFAk5AoDbYmUbBoG - jkJhiNIFCymF49GArTEjj/WMarYtSUJX0hUU8NNZFhR/s8+Vgp/MYbzYcklH+UKYB6jg919f5/oq - T58H63cNjGeiY1dR61j2moY/hJBXB//eohsV69phPDjGTVrNe355jV99TZagJeoVTsKvr1B9bEKd - Fku8ptpVm2Jq8Z6g3s+MshYSDLWoZXZ47goqsrSqRZullD0XleaOOWFpg4o9OUKt6vAvDns06ZkF - SQqH8Iyh3cTELa8QaWkZGRCuk8iFnL6mb3EgPdJH8exYmI/fu/ld4AUK3sr4nSV+bwXveFFSGd7p - Uki0YKtkRqFS/v1T7vSZU/RSWinaohIWW7zb4bfm+btNHnm+mOVFxZ5jNqWrGDrmWzjYrXGSlsBI - rI8MP+008gUFqraRYQxZgkpxEiSLGT8oWJHsaXY0e5ptzVjQS+hKUknH88MuF1r8/Q4t+Nk8JVSG - 5ZxMuIcyuWl5WnFzg28e8OwFwyHOusN2XlNhy8jqo6f0LALMM+CcsQgwDm1jtjVUvsJDakA48VY6 - H/VdpinQ7HfNi79pHGtvPzYHwop+LNKdToA2rksjzDgtVneG2Hx4mgXn1FVB1zNyiOlZ3syB1Eed - rlelvybOup8CcQQrHwtaPhpx2BOmDqJA7mi09zNKXigYWvoG60ggTUDEovtkhJpRKId1FPMpyxmf - zPJhl1qzWWPhygwtGTUs3uvx1S5//oiXNVfa9FIMaEuvYKVFnvBxj3HF0PDPVrCOWwMKyVzGyCEk - xrA1JFcsCMaWyiMlSiIl8zmJYKBBUAagQEqWRfWCXsoHGe+kJIKnJes1zyxjwXzCuYCL9nzZYznn - l7vsa348TzelEswWFMrfQdyz4uGQmxt8e4+1p4xDO4RpspwB7xDyPCZg/Zvi16GcUY2QFDkjixvj - oWqI/DOLmWDdjnqx/znHGbNJ0xghH+dG1Lv+rk020yMs6rOekYj4gqGOiI+TBKOpxAhqR3baXZp+ - 86m38RX9DIqtg0GrM5uKt7uMNDsl1qME3RTto7ZApOtqWMUDYW4mOJ/y6RwfzNHL+GqX1QMutfnR - Ivs13w64N6CXsVny7R5jy4WCpTZbNXsVXcmlNtpzocXdfSrHQsFcyh89x3hWcoYOLcgEzpOnLKSM - akYm8mSFilghqR0GtCeBLCdN8R4lWMp4P+fdhFSyXfNozLZBCS4rzqW0JGPH+ZTLBTeGlI4v5jjX - RuUUko5wd5y8bcXjkq8fc+M+z18yHOGdazz+Oqb8I7lDjS1j7OvqhuUz6D1abMV4EDVEKh873I2P - IlER6+YBylMf0GuHPLpIc8KgAwqIqcz3GZHMp74toAlkA84LLV1immZCHD3Ea0fqIz65poFnghQx - 7euDh90EA0OByMgk6QgEB0eDhJmAnJ16MXVoYgohDXrDp/VheqEo/XLGWx3Ghpcjak8CnZREUpq4 - jRQZQOUOgxMlyKGVMFPgJDcPuLZHT3G1R+n58zXuDmglzORsVWSSczlLLTLF2pjKcjHnSptOwr5h - ZHmvh4Q/3WTXcKXNKMSHijRhPmUpBc/YYxPSlIUcB11BJ0FrsqDznkGCtyjBQsaHHT5IkZZHQ56O - I5fWecWcopcwtAwt53vcH7E+5vMZ5grOtygSpHAPkLctjwZ8+4zrd3mxSVkF629y/FpPATwbMp+Q - 94ya1Q3fScB4hpR/OZGxcE1hZWqEWuP3zu5zWlCbghGsdKgNg/LXTSIJSeYi8pIpCSOmdOSPfgB4 - 3TQ4BZ3P8QTrhNsig0FN6k9JhtYC5GEBUUNbkkn01NaUZSQW7TDNNGh8P8Ws+miBvZJnQyoQSSQH - H5pIPNxRZAljEx+Zc7QUSzlLGecK9mvWx2zWtBK+nENJ/nyDX+6ynDOXUVlagoU2ArqK2oHjx7N8 - scD5grsH3OrzUY+FnK922Ch5vxvzmLlEiablxdN3aEWeMpfRFpSGdhK/wHIOKQceHLkkS7lYcEXR - SViz3Dcknp6krSK5ovc83Y+cFA8O+HyG93skilyRCO4iHzkeDfh6jVsPebHJqMIahzHYMpI4TMQb - TU01EXMP7lBo7TWRx1w3fM7akTgUaEvdEI4HTJhp+oZeZSopUTHuLJGAmIoFA226tpFYLpaTvu80 - 8y5qA9qpEMV7UlX7BqxKNPspjrqTQcbJklao6Tho1C4mFEB+ag50X4F+bTsQh5eUgvGHNw1QkuUu - Zc1gGO8AhxelLl8511V8O6CUCM9cFh1rC0LQThCKgY25TudoCS60WSlQgpeagyEeWoq5lI2Kv97k - 4QHLHRYKXlRIz2wKMFfQSdkZ8qN5fr7EwwF/9pJ7fS61udDiqx2+2eOfv8XQ8u2QVofEMS8oWuyV - GINJUCkLCUJHcriRx8NiRpqx65gRtBXtjLdy3m9xJWPdcq8mt5xXtBIMpILUsTpGCrzj7oB32rw/ - j0/opgjp7yDu1KwP+OoRNx6wtcWwxFmHDaKlwehLdHCENHVFVUa8Q9VomIaKb2UixM1aKodt8DzQ - 4B2mICWvt+zAFPI94uCcTI3rgc18HaV/w/r6vSON4AJVIjbWBESnNOAim0DjktUme2Ud7aj1Z6qq - 8xapZM+deM/RZOd0Wsw3kjDK0z5xpgA5Dm4PkHleHoAgSQlYdkKXmQOv1oYcGAaeVspiRmWpoJWh - HVmCa0DIBLcn5VKXOYWFrZIdTSLoKJSkb7jXZ63PcpvFgpGhLSMC9FKb8220pZjhox53R/zxBkPL - YsqP5xFwtw/wYMyGJ+uR53SGLMLLitpjHFnCuZSq5sDEHGjfcKFFK2fsuJDQSUkUM4rzOcuKLcvd - Ia2S85I0RYM2kUb3XE5P8dU+S4ofLLHQYTGlFv6WF/c1D3a48YQbq2ztUmtcqODqiqrE1tRVzPoH - j99U+BJjqGtshVJ4QRk6XRxjhzQIRS4wJd6Swlg0JUl/mKyoOQLWPzl086/6bo5MbQoEtWjqU9+t - l2VqhMuzYKeIyP1k6spGojT49KcpE0fK6OOeUm3yWKs6ZTQ0NfGt4SgS78lC0sPRCio4LuZ/gIxI - 0x/DgEA2ofGCRIV6GH6iUGSV39qsLi3PZLw/w8iwa8gTZhXGM9KULib7BbQVV3q8lTMInVyO+SwS - bBnLfs3OmI6ipxAwl8Wk08U2n83RTvl6m62aRwOejtmq6GVc6fK04v6IfYeUPDHMdmiltC0XErY0 - WDILknMF0jLSkbBWQE+RK7Tn3Zx5xcjT9wjoCirHyzHFiIWMWrFp46o9nzGfMbLcHICk06ZdcCFj - hL/uxKOae3t8tcrDNbZ2qWqctQ2GOXj8JXWDcosd7lUEuoWkZyHxHhNcDo/0jC1zLRZ7bO+zvU8q - GAlIMDa2O06b1+tdEw2ppZan50DOMs7o/BxzskOiJiztISErm0qZBy1iZ70A74N1nYKVAKJ7892u - OHIMhvU+3iUZ64YR9EbkRFAK4WIORJ8oomtJQoMkS0kaxh6LQoilNu+0ySUbYyTMpbQEOxVje7iP - JZJezlIrqu22FR+0QbDeZ8+ya2glvN1jRyNgPsVCX/P+DFe6vByzccDXuzhHKtiomcn4eBaf8s2A - fk2WoAq6Xc6lKM2iQAi0I4c05XIPIVgbYUPNOEFBniESrmTMKUrYNrQki4pcMjRs1qQ5LqMfGCgM - LclyxoHm/ggLH8/yj5Z5p2CIv+3Eas3DXa494O4D9vrUdfB8zBTCucJWuDoi23RDcKKriO60jv4B - xkR4T+jwyjzjMQeeyrIv6TSeA6e6PW+y7HPzbJb46kxv/n5j2vonSnU0C3Rc5sPyH6ywWblrUIaf - fMF+xep6Xb+6q+E7De+Prwt+gjgiBhihmXiyh4xffW8m3eui6b5XQrUvLH0xS+V4PqL2vNNhIePp - iNGE8k3SEqQJ1jGookTFe7NIzy+22RyzbyhSPuohJcmYlTbtlELy2TxdxfV97g/Y06Gjis2aPOEn - 87zd4y/2GBtyRZ7T7nIxQ5TMChTsOZKEgeRyB+D5mMqRpKQZeUIvoYCLGTMJQ8czTSZZTgmaHY8N - G4KOZMZSGMYVXcm5HAdjw1zO+23+8RyXMv8CvrXiScn1Lb55yMM1dvepNThLXeGOgR1q6hJbUWlM - ST0mbwHoEqfxFh2gPiAcpY8lsLHhYBir/UIcVy89y5hMlb0x2F83WXTqOJlamfTO+sPQlizsWi54 - VrERyQeeQ4FW3FpDOyqDTk7xgk4SO7/psl4ZroTIWAu0oNXQszXczIf3R0zmamgsDHlnC41gKKiV - Nhtjxo6u4uczXOzw9R4HIeqVsfFlvmApJ5UsZnw4w0/m2bf8rw/51Q7OIQQLOUNPAZ/MgeVCwZfz - PCv5f15wZ4CD821qy8sKL7lYcKnN3SHbJbkib5HnZJKqZN4zhNRFfV8jeVbiHVaQKFo5RcJsgpfs - Gb4ZcbGg9gg4n9AWIHjheOEwCQq6hrZhPqOQpJKRJk243OYns1zO/Dr8vRWPS2694KtbrD+lX6Kt - I3o+FXWNHVNrTE01jpjnCfbBGsb9I7xulYOJgkuQJQXtGUJ2Zj7kY0NDJyEV7Bl2a7KjyexjWMX/ - X8ZkMe7A5oC2J5OvXIRfB2w+bXhx+pFSEC4m/r2IcOhDhE909ZuThgQOsdqQWvBUSWhoVA8P/PI5 - 8XvLfDrPwPDnz3nUx3qkx4KBHD6eZaVFIflohs/nWB/xbx7x9Tba00t5K6etmFO8NxuBnxfabFT8 - hw2+2SdN+MEs5wv+dAvj6Spmch6OeTSim5FkFC1yQdsy59kR1IJlcJ59h/JUFi9QiixlJvQJiOib - OcHzCg+fd7jaIk3Ysjw3jCG39BznJEXBfIb07FbsCGZm+KTLReUfIq45Hgy5/oIb93nylOEIbX3D - YVgfBrsh22Ma1KduyD2FoTbUjXyvtuBxFucjMbxp9Ay7h2KGZ33wh0/a026RC/b6dE7aQtMb4Kfx - bSfG673/U1tpQ5FrmqcEwNEhtiUcP0iQ7JaMGmc9QGU47drePA3EkZ3n2MgEacIIWubI5aVNWU1N - 4SCmDhlvQmAZyixOYKT6/Yvif3iXK13++Bl/+5LHI2ofZfyCHMmncywVtAQfzfJBj5t7/OvH/GqT - oeVcmx/Ms5Qzk/Pzc/RSbu2xUXJzg/UBT8YsZHw2x3zO328zdHQUV9ucL7g/RiloUWS0BFLTc2wJ - +oIZx9hRCkY2JoiVIs04n9KCAYxdlKzvJiSCiyk/7ZIrtgwDj5VIz5znvCCTtBNagh3DtiNv8WGH - 94L1m4bO5B7PNxhEmENDXBXZHPThel/VTatXhdXUQbPR4i3Ooi1+qqk3gB1C+jI9+uBfPweOUbUB - WrA3jlRqJ8f384WmL+P0RvKmE+qorh4qeRUcL0a94uj1/8ZdNQJFpCCbvpKp6RRQVaJBbh5ilI6m - SsN0daC8+J9u+JU2f/WS/3ON3QrRsALXjjThhwvMpJSOq20+mKUy/PEzvt3HaS53+WKepRaXuxSK - tSFPBjyNIKLLAAAgAElEQVQes1ezb6g9LcWVNnnC4zFDR1vxWYe3O+w7HtXohCyj8BhNEtB7BTOC - 1KAVpcfbyOlbpFxqsQBbhr2gdyhYSOklrCg+b9NTPLM807x0HFjOCX6U0QZtOZ9Re9Y1ZcKVFpdS - v+bFNc29Preec+0uL14wLjHW4GqMpa5j2ifCm0tMja2oAuKtoq5xgevT4C3eUFtqF/kdAsxBE3+A - 7E105PG5QsfTStk3x2tH8ThvsqfX7ADT49huIBrawGBRWkTrn8QAE3aWTLG4yMsdRuVhTY3mpKcC - Mb7DVZ0ojcX1+8QW0A7djwm1jRuU8IgEUeDGh2+TR68n+IqpwMu4F1iBE5CIL/+dV4pHYwY1UiAT - Uo+tWWzxB2+RJjwasFwwm7Jf8WDIbsWVHv9ombdb3NhnVzOnuH3AtT7GoiQiOSRQCbg0IUgVP57l - d5f5xQFPa7SiyFCG4ZBEYBJImU1pS7SiLMESmhgKxUrGnGDbMkpwggSu5iwquooPWiDpW7Yc6wYJ - i57zkkKiHMsJacLQsyc5X7Ao3C0vb9Xc2+PrB9x/zMstxhXe2pjhMUcb23VFHVp7S+pAYt5gPAO4 - PzR51T52tZuGzDAA08cS7OukKI6aACLh47dY3aA2r9j7Xzu+xwSYtn7CBEjQPoqpJKG+G94pEJ4h - GOh4dHOQyUlfRaxylquK1j+BToh4nYcvEtHR6bHimIRwfBep+kUK7gi+MJ7CYwRtouQpoZgtSaT6 - +sHeysU5bRoROxP5Gv5ghXMtNsZc7eAF9w5YHzEwzGdcbAH82QtWB0hJaXlZ4jxpGnVLJ3cn9Ko7 - z6LiyxlIGDlcQldQaIY1eLQCSZrhMyqJ0eBwEpnQSZjPaCdsW8YSJ5hJmEnIJWnCbEYtyGHX8sLQ - hkXBBYWEVNAWGMglSnI5pSO44eT1mgd7fP2QO/fZP6Cs8c5E049SjeUhp5VrCl6h/UXUGIM3lBYM - zlIbdCAymbJ+QaxB5jZzZaPb9cYhqB23nqCT4+JZx0wr9A2JE284zmsrTnnx1KGbHaeWCGhD6HQJ - MI2QXQktmiHfP41HmDj6rxlvLjwHwILHiFNaYSJ0Z/qLyCOFs0AKErh6vD2S+TmcBpIWpOCayno4 - aeEV+3V9AZ/gPVpTV3QL3p9nrgA4l7NVcrfPkxHaIgQHNX+6gfHM55zLqRx7Bi1IJUqhUmgSTZPG - +cTzdk6vYNNwrkMx4uWIbfAZKHzoPxZYGxOPSFYyFjPqBCS7Hh0aZS1ZwocFI0ctcIK2ZM8ygI6g - AAsblgsSp1mtWGozW7CsqIS/5sX9ijtbfPuQe2vs7IVyrWlI2oKVV4dYtwB4dhXGxFDYG5xB6xjs - WtOAgf1hWdc3AakWQC2y2DLCGSLgFEhObdg90qI1WWAma/mpVn4SnfmaoSezReA9yVFZ7Mkmdjq0 - 4bVHPtPkD4Xkyf1pThMiIh9SOicW9TSEs42S5MmrPXxFxHtVTR08uJRSKErvHdpTVbiyvDxX/M5b - XO2RJmyX9A1PhmxU0foDSYn3zOa81cbBXoW2pAlZRqqix+gTpKdQKMHI0lV8vEC3YFjRrXhcUQqs - wNvYWyzBh5Y0T6H4uMf5jD3PC0tlsTLK5CwrPmlxKWXH4iQrCuPoO9qebc1zy7ziRzkdx32NKljq - MpvYTSGuW7k64s4mv7rP+jp7AyqN96bh6KywE6dfoytsGYMB3QS+pY3yLQR8m8E1uu2ByPb4rXfH - 7TI8qu+RsRT+VQ2KrzPw75caTW30Wb/r6X4DI2OlzZ6lHMQTRUmByR4SmajiVGm3ODBv4AOdcE0L - iW70BSd/UgKPwvnaUddcbfH528VPV+gkGM/qgP2avmVosBYpEaETBZKEmQzt2KkZ2pioyTKkx5lY - jljIWUjZrvGO5TYLBQ9Ltgz7hj0b1wXpkUkM1r0jFRQpP5xhNuVhTV9QJBQy8kify/hZjxxK6GQs - SDJ44Uk9L2rWNO9k/CwHy4OarMVHXRYS9xhx08h7fW485+Z91p4xrqgMjc9TxWV+kuAP9V1Txqbe - 0PqoNZhIZRWsv3II1xC5TRn6dLYhOCq+cW2Fz9IJRvJ0sMB3Hq/xcE7+6Y1QiJYj4XQqq5J/WOtP - wRv6IxJBpg7BdjEPe5pfNDrRMzrt/IQxeRzeHeVFlOQZHkZWoZ3WfDLLf3uFK/NI0Ja1IYlEw07F - nsZCIlACJbEe5akd49BI2ZCUSI9oZPMSwUxKIRlbFlN+e44U1vv0PXsVeJwgaOyJpqmyl3Ix5+02 - AlZrxqAcQA0WruR82mHLsKe50uLTnLbghaXneFSxZfm8w28V+Jr7NUWbzzr0pH/s5Q3LowOuPeHm - fTY3KcehQddECKcuYwtL8HzqBuIWRLsINLcGH34szuMNlYt+v5j2fIAA7fRRzeVEIXNaxfo3zDL7 - a40pNJ45auXHhMnOOI6kVv1Z0wAp7JnY15KKRsbrxHkDjYKGMbTelBcTJyL+8LoSSIH1gKIs3+7w - 28t0WlSGC23OFVxq8x9f8mTAsxLro85cmgCxk0k7jIsRe1i/jUEkSIl0FCm1Z73COC5nZJLbfUrL - ixEWrESBTCIkSUneL3iroJuCZxtESkfT15QpiWAhYT5lXWMcKxmfFnQT+pbc80zz2HKp4MsWC45n - MNflvRZd6VaRNzT3D7ixxo37bG5S1dQu+P0TDI8+BPfrCZt509IedgnfaPfWHtkUvETT2XhsGHH6 - 64dwfwH+OFT49WWsX2f4KX3CY4efGEQqaSV4S22Pl5lF6EOYcsonU/qs5IqNQzXNBHpqOBQ8/lpA - 0ymm/CHlCc1kCBz/2p9i/YczTcSQ3QOn9dAYj6zREqTiavFfvMW7sySeqz36htU+3+zxJxusD3Ai - StApQRqWf0gELgS6MlbcrMdblEUmLOUsttmu6Zd80eG3FvirPbY0LUntI4t/kO9Fknp+2OXnc1Se - LUMpsY5C4y2tAgdzkkyy5Tifcj5k/dOomv5gzKpmVnEloeN5AQcZV1KU5Gsn79Tc2uLGw4juLGus - wbtGnrHWuCrCREOPbz1JgIY+ryBhrSOHs7YR4iYNAlIf6zCCGAaEhPTrUPti6hd/tujwNzTeeK5U - gj3i/IhA+3G0D+EsjQSvwmV8VyRI4DlULnYCpY19j6bO8qq9xYNIMZ7kZNlOxFxqLYOqrvonP7hw - dSaSuj0bsT7m+YhfbPNkgPekQQ5DNsTLRHUM4w8zvxN6tlRxMedii+eafsnP5/jHK/zNAY+GFAkD - 18jXCZRESLzg7RY/n0NJtjUjwb7DaqzDpuSS+QQ8teTDlLbkSkFLRrm0x2PWDJdzPs6ZkzwFnXBV - 4aX/pRV3htzZ5Pp9Hq9xMKIy2IDuDK6ODZpFNbps6EzKJhHUSLdbHTEOzqAtqcX52Mw13cIyoQw4 - I3fDq3JBZ8knnnGLOJISOcsHLCOLJ6Zfo82IU1oWs+aV4xVrTzsnFRxo6teinl6TCpveXgJwUItI - kZS642HAq0zfeJRHJHgLASk99UEFSYIVOBASK7Co//I8NexrZjM2K1JJ5RiYKAYZ1mkRhSGj7Lu1 - DVWoxwkywUyGSOgIlhQ7mmdD3i744RJrFV/tRQ0LKaP15wolMNBV/GAGBBuaHc8QZhMGkqEgdywl - kUDko5xccD6nnbBrWZbsatZrlhWf5AjJmqedcDmj77ljxN0BN59y8zFPn3MwCmbscA2BoZ6QONSH - Uo0TLygIVhuD19ErCAt/3bDYpoHFvyHmjcv5pEnq1xhvLPSe6SDfAysapSLiDuCn+KTCWWt/BNDB - SbUBh5VkJ3rbfENtMPnvq4Y+Om+1IPNknjwFqM6MoSogFRhwfsr6Jy6cxAtc6KmIL6pzLZ6P6aZs - VzwdMrLcPOCgxjdSpzR3NcDpfFMk8TAwzCT8eIlWxrMBPYEVbIwRUMKfbfOybkRcZGRvDmwOSLqS - z7tcLtiz7HqGsKKQOd6SGxYUB5pM8EGbgWVWYhwGLqfsaa6X1JIVybZDSy5lvKX8C8RNw/0+N9a5 - +YAXWwyG6In16wbKFrL+dRVBb8HnqXU0+kjgXMe+lpEn8RhH26KghnFgTm3WpFDzOoUY54TBfg8k - 3OQYZ7fpkyCwU0ewgNpGUDFTTe6HIa848mZe/RVMwqCkDQIyeQhKyzMyG8/y+q8/mVEh3ug0X7iu - T/k6b/B/miVANTGM8ghi4kWLSBkdDpsItTbkfMFmxYsRI8vjEU/HlA24WohGEDs864aE2QlKQ+b5 - wwt8vshfbmI9ImVfo2Auo3KslQCZiiJ2gby/q5hPOfB0JB+0KBKcwBmWBEs5A8+CZyVj7OkIhOfB - kCsFLqGbcFGxZfhqhBa8lTJMaBV8pMgE97y4U3Frh1uPWV1jc5tSUxn8pNSlp/hLIrI/9LU07e1o - ahPhzc5SGrQnCdG9pWwo8ONzonkGYb2clIqmgDFHnsr3Is951dF+zaE5RCzXE5T/Wa7nNOScd9F9 - 0o2jn0i8pSWYmWc0pB6c6euHDSfzIA5hSKcO31jn9BxQzb3SAqY8HxWyNCGJCYlHN2u5ECDUozFK - stpnaFkbsTGmctDIrCMj2NY1ph8Kz5UhgX92id9Z4e4BexUzGQh6KZ/N0Ur4xT5bFXkj2Bj0XVoJ - H/ZYybl2wIKkl6JSXM2M5HKOBmm4nMUW3spz44AfdsgUywpp+ZsBt8YYyVJOnbGS81niLHxr5f0x - t15yfZW1Zxz0KU0Q4ApEJvpQoTpWuyp0iTNUJXUdOZy9Rlt04/mMHdJjDcIiHFowOooyDyOQ+g+n - pNu/93jNZ3+zUyAl0r5OGnRO5nNeNyWOIhGCqYkp5IWwaDAeu0F9wlJPjkMoh490EuK0aR/YPztT - 1zZ95BRsU/ENzG1eTN24MB8anogsBdAG79QnPTqK0rLaZ6dG26i3FYigZVPPiduOxEMCqeDqHFfa - 3O+zPmY2i8vKFzMUKX++xVZFlkYR3yBe1FVcKugm3BqjHJ/PInLWNNZxJWc2ZaPiUkYiMZYdy68O - mBeMEt5PmIFfDLlWohXnMmYKLhdcFm6AuG3ErQG3nnH7MevPGY6oDdoQiTtjtWuCb6sjujOoGAXr - 1wZtqC3GxgZt7ZCesUFY2g2f8HQlJeS/LHhL4iJuRGvMK1LmZzCCUylpM1dOM4zD62BnryS1Pf39 - 8hDBATjIoG4Ssl6cvt7Ht54Y2dS3G4cpESCxnuyQ9eTUyz5kNDpaKpmaA4236RuO/wnmhwCkBSsZ - SZRHyQbyKSl8/KCZwtsJQStDW8aGQqgrHW7s8WzEbpAtDNafHBq9EjH3GrzEIsE6LmT8oId2bFV4 - gYPK8eU8Vzv80UsejGirCAQSICSzKe+3WS7YqDnQ/KDHTJu1ksrxXs6ljD3LkiIV5JJnhm2NELQz - ftZmRfFXezzUdHLOd/i4xcXEFfg1krs19w745gl31nixzThYv2us3zTmXk/tALYhsg0aFlajDaZu - NIsshI5eG+EMIx/l6I4/OU9PImfZ69PNySRb9pXstWcoBp1qu3XRzcpBrQvyk388wxHe2HriQTTo - ThO8I8G5FpVgb/QG2bmzjEycEkN/h9FcgBDMgJ+YMoevR4ibjNKlXkTIaNJUMOykXgWZZ+TpD0lE - YLNW//oJu5qdGu9jJQuQDikQniSho1CCyuFhLiPx9A3nu3w4SyclGXBzwK7ldxf5bIb/d5vrA9op - KkEIcsFMylLOoiIRkSn6YsqVDlIyC4sZb/9/3b1JkyXJkef3M3Pzt0Rk5FJZCwpAobB1Dxpo9IJu - cKSFQ/b0CA8ckWmhcG7kmV+A34bCGz8ATzyPCIcyIj3NmUYDXTtqy9pyrdwiI97ibmbKg6r58pbI - iMwsDIQqKVWRkf7c/bmrqeny179OAWaeLFypeBS50fCg5TsT/qdXuAZ/f8Jj4Y1Drsx5c8orpCX+ - vezfW/H+17z3OR9/wYNHrApIuZSxGpo1uaNvaCzvGQvgJ0ejMdQdQDIpkQt7Ye7QncXLN0ocbUIV - RGgy0wY8Xy/MCR4xVF7E75di8Dq2wzVMHNeOmtuOQx3WuUs6HZUxH7L+vikomt2pyTGSzAtTCMJJ - a6wWspV/3CkjQPVGLTlzqBMrzuz/ghEOVIH9AWbSF6e7DFNnTXJR1o4UsSqI/1A+FR0uEURH4hEh - ZFpH9jhHILx/bAMmjiY0YorudNpuxWHFQU2T8fD6lCuBR2t+eIk/fYnDCffWfL7iceJnV/jJZf7h - mL8/pqqYBlxFEL414dszBFzFzPEwceD4+RGTgHf8eMbLgeBpEq96QsUicbPl9poj4X9+iSPPP51w - L3H9kJdnfD+kS8htwvuRj094+ybvfsLNrzk+sZxNUhKrtjj9nevfFB5PXRXa5qu9vDbbtIwqUo9f - T6fZwIOyR8fBM80ZIGZOlqw9kxeRBt2QKayFBw+4NmHtdi+AoYXuK7sOOO/9TLby/RGcMXiNOLwu - KlI4Q5XTMzZI2nuqHYG+p84jCjpXMpJu3JimJUgpIxM3SAOGAESl2w+AMz/OufDlgu9f4lJgWQY1 - OCxrqTWvtbAWvjvj5Sm3F8wq/uI6L0/54AlftzxMvHnI9w/5Dw/5x2PwzAK1x2vFzfM4cVjx5oQ1 - 3Ez8eM73DhDH6zUHnuAQ4aBi4mUp7olwp+XI829eYh64tcZ7juZcn/GjkD3coPrtmvcf8+4tPviM - 23dZLGkSrSApD+Bra8NyakeLMfe3rMv8ohytsUttfy7aryNbdFqRpjV0yrqGv22XOXE0cFnpBwcv - Lz9rwLoTCjGF1nHacOKe7gKJoxY6OHrteiq1APMMXRl1sGx67RdEJvW6aSfUane7bOMuNMFQuo4C - O9MwRHbG6dlB8PtPYfNe253Z28zC0cKBYz6A6CkE3XWtMABjDJ8neOqEE5uHN3TA4gZAy4VlZlZx - dcaDUx10icdgP5VnElhmXp7wvUt8ccKjxC+O+MEhdxoeJY4jb875gyM+XfHBglAxq63apSRFjxO1 - 54dzXp/z6YrXpvzzK1yqOMnMPUcVDzITuORoxD2JnETemPC9S4hjnQiBe55rM37mc4P7UPyNhncf - 8psbfPol9x+yXNNmYirUzZrzUURDbvreLoM8tEbtn1vW0QgMk85jypCJQsyEzDzh4HFBemwgAhTy - oNnDesvtN/zJhdfBPnzoRNbNdLbjgKFSto4DdQAAR1WMWetoyjwIZ4NBzxDjrjIK//wUvXc1UiZ6 - 76XtL+idZ5DuVocYjbkOWyiEquqq5S5BJ9TZvr7sij3EERWK40iOWQ7/9g3mgX96TMzgqDyHNbXj - 5SmXam6tebXmr67z3jF3Gn5yiT+5ykps/telwPcO+XTNr0/InnlNcH1qwXtqzyxYw8N3pvxwwrfn - HCeC4B0rZaL2ZMcycwrXa1Lk64bW8caML2u+53jTpa/xn0T33ikf3OW9z7hxi5NTmoY20+aCbW5L - wqdtTeM16lXYT9MaxjOWcdaW6RdyRjIRm1aUMt6xdE+Hr5wxr2VoBfVFng0dk728hd3vRwcM9bh2 - 1GJ8gB2TlPPUcAAH2FvpPtURa3biABlZ8e2vs70YOu3v73VPUnWn6MLYOQin64ds9P7pq9SNUDsa - R6U9JUAZXAe2/XU0ibH8clbmzCqA14FkZgGq8MqMXz/iYWPQ/IOKV2d4z8s1XzdI4hfXeNTy+Qnf - mvCLq/zxVT5d8ESn+2T+0zH3EgTmNZVYqdgJledSxdWaaeB2pk78Ysb3DyRlN4GrNZVjLVzyBCfH - yZ1kXqq4ueK9FWHK9TkfBv7Ap+vwaa5+2/LhI/7pcz78gnsPWC6Jojzkhb+ta+nKxefRGV7KXW4J - 0OLxp2jI/qigzmyxkRQ+s4Ubv4mBuDKX/Fxczb6f9/jUcu6Fgohh20o9oFZ3ZeKG/rXDkEFBlZW/ - jhyVPaDlpwQAW3HthbM9e07e7SfDQXoLkIqcyCXGVXaQLKMmUg2WFEaqIcRsEL+5UupOmalnPgn/ - 28e8eYnK4z2zwJ9f5ZUJn5zyxYIIP7qMd7z9NZcr/uwqP7/K1HO34bTl+8r33+IddTDr44QKas+l - mlcmHNY0sBJEOKzx4iKGMJ3AoRcHLa7yXPXcXPEw8ZMjZEodeM1JwL0vJdvzGR9/xYPHrFuLV/uQ - VxE+aW1sVpbpV2R/LEO7WnIZV7os2q9zisCC4AmEiuhw7Z53ky+opiUmeDZWrH2ysfaazI9f4WTB - 8apnRxuKVifaXS7BU3yTYQtbWQzbdIU7xRSi6gdhnC0dXlqDmXYw1VSNyNzT6tjG8pG6v1L/TQTa - ce9850HpmTXhVnmalpRC/jqGK6ECPP/iOn/1Ev/5IbfXzAI/OeR6zW8ectzy377CL69zEPj4lNtr - Lk0INTcjx47a4RIOJlB7asdh4FKAQOuZQRBerTUTKhl3CabI3CPIOrsniWUhT/juAammcnKZdEx1 - I/kbp7ylRa47PDplrcPmMqKGPynSYdUXtozEqkwr0uHVuTEan1WyMc4Ra66L6ixmHIY30o6WZ1PZ - HXl3YbrBw3PuHpHzYIFqR+s5XtJstXJ1V2lKGZUSCXTnH0XebteVUnF+zocy6k8mlhPQGe4ba2DD - oWoo7pCza6nMB/k3B4f2xcr8apDM1assVizawhwzPLNaN8AbNMgJlbOaGo7kAuJr7WCcsUj8X7f5 - bGlUsinz6QkPl/zJVf7la/zsKv/vI9455TtzpjW/WXAvU4FX7l7HpcBR4KjmMJA82TF3SOa7E34y - Z+J5nFzMvBSYOfHOPYnuq9YtMsExCVypWAcm5BpuEj5Z8c5DfvsVH33OvQcsV6yTkTDQlpnsWutt - V6TO9S85n9jSRlYtNDaqsYn4SCu0gzF16vu2MBXqzEIGCcQyGWr01/O8+y13eYgGOy9RykA73X6Y - 5ykcOh4tCG4XPWCpgD7PJK8uZtgeQgOcFjzFoewaYJFpLujdqeiEizrTevPrwiAZ2tkG51mf2u7d - 1VL0uhsrQZfB1q0EZt5XhMBJ4vMFUfjhJS5VPFjTtLSZH17hFy/zs2sEWCYOayTwqyW3GiaCzzhv - gB/nuDbhx4dcqjgRVpET4eqUn8353kRaYSVuJVwRSU7utf5Oy4HnpQmP4ZHnMMgRqcW/2/obS965 - zVs3uHmX42OaaIRrMQqxzKXrOta7oq9OpW4b1q1BgFI0vHuOJJ3aIkShTiRNeOU+wMJxJfPY08pA - 3cfEhp053PAeNjRsX/7kqYrYA2M2fl/efab0CgqNcM1zOCe3ttN3iD0HK9XIUv+XPAIssF19O0NP - y2Q4nWrjSpwNHHUxRl/Fm4RV084RRfOPzzRx4HeVKco91I45ZpVaTxRCKUc6qJx1ZXSQ1XXG+f51 - KfxhKVye4dp+vAUecbRGCVooMFxQqOZJS4R15k+v8Nev8NsTHi/57gEvzXAVrx8QHLdWvLvk05a8 - JGYmOrjS4xzOU3suT3nzgFcm3Ivcb6kcLwV+OOFKpdfnkmfqEHiUqoctCZaOhcNXvOQ5QB4QPlrz - 7iM+uM2Hn3Pra04XNMlgCjFnUjRjb6Ft5/CsjbpQCfvV82nLeGrXgmIcsJZFg3AqDHjwMto9Lrvk - zR+emh3fPP588tSul+2LVhVV4rTcVacLTZeTfW5Eg2VXACZhzQZo50LS7hpWpyDQyxOoOD2Fiigc - 1lw74O4Tc9wjtH7HNryDLttbE5/Lg98A3lzf0BuvoJ3pOrbwB4f8j9/iXmSd+POXePOQec3tNdOK - O2v+3WM+jLSZSggZ7w0xGjzzilem/PEl3pzzZcOnK64ot0/FvCI5VkIj7jQz0SHbmQi3HAeeb9Vc - J7b4T5P/YM0HD3j7U768zf2HLNa0UiZM26iitcGbc5lYodxVNJb2UX6rVLRfm5e1wtVgY+qkNPVS - jKXt7cVRaR3ijfz+LCDNM/0TpSR5YRXqMC2FE7z2tI7jEwtwK21KdgDrcWWqWwMT7QsZ3N5EcDKR - 5pwDLJo41TUw3BInqxXQuNmkXkEB1W2L3sa2+dfI9bFwOVE7nBAcTeZBizirZx1AowFb4OgKDx+h - sGcByQTK6B0gM3fkFdFvsrzUXepaV3MKVYXzVPBHl/jb17kb+XLFt6aIZ+1wmWlAHP/PMe+ckhKV - djZq7tVTOa5P+MGMf3bEt2fcWfPlmquBP53jYSGI41qgcpLEHXl8ZuXcQ7gdOKz4UciHyC0JH0be - f8g7t/n4K27f5mRJE2kTUWjTgMUkdeyFDUkxDm2hMFmb1c8tbSQnUkISJBpt4c1UxfuXbtN31uAD - hhqnQIC4qP0uLvJTD7s64dJkcvteU118ZlFXm6PomRIy67CW/obPzDBudag0cXb+IGfD/E+qZTO7 - Qi1MJk2uic1E1hcbama9dZGlEDw1ZK3iNcTK6nfSlTKE5ROGoH99gxsbi3dET3QDxy8jldm+CkRI - hKrCO/74Ev/6de633F7zxpxl5saKaaB1XKt5f8n7pwaRCgUmpHw+B4EfHPDnl3mt5mHL52vmnr+Y - 8+qERviW59t1rpGFeC80iZVnIRw7rk7kD5EGeStV7yz46D7v3uDLmzx6wqIxj79NJBEDNbQDw2/T - WRqb0t6UKm9saaKxVrXJOJyTkDEPErHsp2NcnC96n7T/v6N3PruMNcjWtVhhbOJ38Pv1bxoaaDMP - 4xZ/ZeebninDwyaZ1hFKcrYdH9Nf1EOXZNzmzXRl5attvmDEavOLBJdoTjSb2XAmKluzsV2yvylc - lgDOuCGCB48O9RoWsA+E6Gh0aG6mgamOSCpIoRZCtrIX2y+uPCujNJWQan4y47+6zr2Gx4k/OiQL - Xy44ET5a88sZR4EHCx42gI1oV2YHDx5enfLGAdcCbeZxy4HjBzO+NeFy4NBlByf4RSbAomsQrXjZ - c5V8l+r9lncf885Nbtzk1l1OFqwjbaIVzXVmK29Zt27R+7ZwNWuOfx1JDblof5txkZxw2XjEhkiy - IGGqXTQAACAASURBVCyHVmEjLnRWPIrQaE5TRvWjTlo4DDYSZpLIFT/+l0xWfPJrrH9sSzTtOIXT - RB0bNx9d/Wn7xySsmji3IxTVMwxqN9pqt/3+1nEofeV1uAlUUAurjkPlzGiY8QHON2k+aZ/YAqtp - mvlTFlGQEbYqlD+axDQmQ8/UkzBHcQopk7JlqLXlIIuNG7RCmLfavCaOKENdd2ZvJVND9OFnV/kf - vsP9zNcNPz3itQk3ViyEJXjhSeLjBR8vyNngccrnExwzOJrwgwNer/HCaWKlE1qFo8AUeSL+dqR2 - HHqOM19nG3X6qhPIN6R6Z8GHD3jnCz77isfHnK5ZJ4taG6BNPYlDN6zOelxWRmloIIg1lKaWNiPJ - Ej6SbciHK+AQUReohGLbfPxDMQoax2He3Ae0ynP1Oo8ew+qSPz6R17n+l7h71G9N1qvGXTpLAwrd - n8F71gNEXR6mZUbStPPSlzPcnbrK7pmul2Sco/WD2kLRfj1VFCNiqDcW4UjXEdcj/gY7SeNnY1aV - zY/axxmY/7UjFqRG7RBoK8MzB0/liB4cK6jKgtFQgUydLS88KmMIFf1oIxhO896U5EgQCP/Lj8gT - 7p/y4yN+cZm7LXcS9zPXav7mGu+f8smSNhMcLhAq65UJcDDh5Skv11x1ROF+4nbDKvPmlFXiWFwL - RxXzipPEA8cq8HLgMvkR/t119f4T3vqSz25x5x4nC9po2t9ksk7z0y4WaQttW+lisfEtkaYkOhXd - kKJxlOdEFEMs62PrE+r6g0fUgd6146tmqCMUhdbvKNAI1I6v7kOidiera0xXvPt/sGpZrprDw73P - fSwG76mf5nd07PuBwc1syVNyr1ppKrQlIyxd0Wld8wJ+11TTsmYmddPD8rYnA+wOf8tvWzforXO0 - MHc0ntoRCntCp7gB5gFx1nSPVnk9wXGSCA4GrXa6b2ux7+mRmKWzwxuX+XTF5Zo/OqSFT1f8dkHM - /KuXWWVuLYnZgBIhWLK/hqs1V2uOPFcrvOPGik9WHDp+PufHcw4rpWsWQe6JP/Fc8nzb5Tn+RvK/ - OuWDu3zwJV98yZMFq5ZGs5xtMfyq1m3h50lri3o7WFvn7scWIutELvCelAzbnLFsj1pTEUIuJcAi - YY/293/d/xwjNKnb92lh8TXApNpdUWWreLDzmO0Lqqlrn2bjzxAdKG3BvYwmtO75AIhNfNklI+3f - l9DfcU4A6nFFWVlMaodUBA/VptnWXa4qczpcZjajrlkfIyVI2Lj8cLrwPonCTMCFTxaI488u80rN - h0veOeHOktrz21MjssVTeUJttA4zz0sVhzUvB3404/WaR5EHEYTXJvzhnFeDxYAr3GNx64qXnczJ - 93Fvr3n3mLe/4uOv+PoBp6esu15cKc1cOphaovGXxGhUDurxr+PAKYpW3lJ0RNYqsZirPRFStqcm - UmqBQ8mFKr4YxZH2ZyrHsbPcyGTridaD/wLiOKisFrvv6Ss2ToEuPU1xHgV5HRPE8CRNSd2cIbJr - FI1+xMapDyRkc6P7G9ta+RucFAOrfb442fWNWvqEu8axTuYKb65oPTNHcKOVOcs4MfxPXZ6Mg5M1 - 9RoccxBXMr+6b3epjv3PKipU05MEyWHiOQi8MuFJ5OMTbixZZC57bq45zSSY1cwnuAoRjjxzz7dq - Zo4fTvnJnCQ8anm54o0J35tyvdaatKxwS8ek4goSkRu5emvBW/f46Caff8XjJ6xa1i2tEOMgzW+o - tcZI2lLhrtKGxtyY5yONTedtIqIJn0JVm7qtsBh+2JHgizKwHA5kd8ZT9kwpVNme53UWXXMhaere - cYcqG2QMLSoQBtrDDnzb3vPvvM9zKOxwDVjAs9uVQWRHH9nWUU9fJJNivKUD95cr+mzcyHbzBZMS - xBhNUgEIVTIi9D1D7+3GBAeTisuHtCserMLtxD8/ZJ35+0e8fUojvD7has1JZu24POPajBA4hSuO - 71Zcq7gWeC3wamDiOIVrgcuBo4prFYdOxNGKy94duOyQ21K9vXTvHfPOV3z8FY8eWQ9Xk2kSKWqa - vylUtS1J49qunSWObH9uDeRDJCUrdZHwsBJaweV+gLil+WWECtEWoVYfVnnK67GSdRpzkFnuMv/7 - pCk5yuHamIy1ma6E1CX1y8/QNHPQMS2lx3fhtvauURFqJNvGfqeIs3bbZgAV3nSxts7Tr2FGO9iG - 9N5a+acJzLewdBr7avTfFoqx4JkkYsZhRi0K4phXkFkJEnCCz0xgpQnczAxzsTTn0brNrQYdDFzS - 3G3mwQlRmBCC4w8P+PUx//GYAH95RO35pCE6fnTIQY20rFoOHVcrXqn5Ts1rNdeCBKdMjO5yxWEl - h74MJnPOO6mdPMB/2PLWMe/c5qOb3LzDkxNr4Eo6kiMiKVuOP7c2gT1Fs/3azLUuhJ5tHLk9bSJF - kno+4PKItapz7nvk2dgBr4co2fFe31nxuGXHLjrq2Qqf4192XQejwQJY9DnZmUDZc+bnlDCGrzlv - SdKJUt91v+esxoAzpqxSvk7fFNHJ+FMhg6NOBhPwYlq+hACTwKUDTk9tRXV1wP7+9IWW/pi69MsP - v2mXxtCNV99+W4Wrngctf/eIl2pemXB9wocrnjj+7Bo/OeT+ksdrLsPNNauKgxnziqln7gTcE3Gt - cC3kmTegfwWVkwb5PPl/WvLeA967xSc3efSYxcrc/ZRpM22iWPRovo2GttrDpfzMWu5V1gbF/efi - 86RkoCjtY9SRrnWxJdYVodHVHmUKhfBnQ7SsqAiToP2Ehaeg3q/9G85Pl2BtdD85h1Vu/OZ8dpUD - 2T2ad6ecx/yraNPPxkCASdlDtB9Xv9SBxznj0tonXWirtdLOAPWb5651YiSkAo6cWXnqhJRiRXRU - IFpCWhDGjfAUox6K9oOVBdidRwYIhV7A4EAS3j6lFeYT/nDOSzXvnnJP+OllfnnIcs18xSzw/prH - ib+4zBtTas+BFxx3WneSOAyIc9FZPwCOW/B+49855je3+eQrbt/n+JS2tfZF5VvI2smlHr/+UVfH - qJvXluRpis/TRLKOsBZSokk2UCmWubyh+DkOi26VKgwxlAhbDroWvHbmQ9riATtnxmNR0hH76gbD - 36sP0Ap13l1tsBspCcRheDDftcbOr9bnES0FxlJ53botglDDwtuCP5gynfPVA5sPuVOqwceRcWbm - zM1KoQq1QveSEXdu9LgEoY3jDbOYc73QMHHU2Z19MkDCEXJ4bcI08G+OWGTeX/NZ5ucH/OWEZkHb - 8sqMDyKfrPirI/78iFnFYSW18MnKLzJXJswrI4Dwjke4jxK/Pubte3x4i89vc3zCuiEWkx2FtmWk - 9DEiayvlGo+V2n4NA0qCX/u52kxKrLUfTGwIacqGabBNDWCUCe5qgfWG15FBZ1Vs7xID5ycUl1e1 - +TxSj1td63JKxjuB/qwDUTqxCleheHixEovVfypQp8Yo8U6F0yWLdZ88fXaWK5UxUl/dMCkXBaLH - gQsEJerzALNMkgEkG6K3XmHnqMKoH6jfP/flfMX2KCfhv77OiXAj83fH3F3zJ1P+csokIxWvHPB+ - wz8+4ZeX+e9e4kqgRiZwM7qFcHXC1cDUycTRitzI/tdL3n7AO1/wyW0eHesAaiQXYE8mx465pEVa - c2+MtbwhN6xblM52FS0lGhNZtV9YZFzCg2TWUvw5Z3NtO8+HwSpPWisplaB+9Webe+UGRc0+ZVQO - q0A8S0dTKP7YFfYNRRMak432gMG/No56AM+sC3eDegtddlJTey9wDSz9WBW29djZf3SdHAitUOvu - 55hUdodh4O1woerErh7iAFQcBpKwTERHmwhCm0ammpLf1NuuSl5bawhHh5w+BsVTDFaXd+VT41NJ - P/84hJpp5leP+OwBb075+RWu1GR4ueaLlv90wrc9f3OF12vRr/wwOxzfnXHopXKS4R7+o9b96jFv - 3eKjW9z8mpMFsbVqrHFMJSSprsdi/tuS1lzZStDfN0X1rd0xIWKtkC6TAGFVUv66juPYrm+GZdtv - OttHNtKL3VbQ4UO7OLjWJ7tf9Xs/x/W/URPVF3qd2bN2K0mxE4nszptyP5fUYwdmd5ZTYTnFUa6d - HaZWf+MMz6z62z7hGqS0/+J6mpPoQZhJ4SKgfx12cUebufsInD3t4aPNGtbnYivtE/1yCIR/WFK1 - vHuP1zz/zRFvzJlUzAO3Iv/+BEn89y/xg1lWR/XUkRwvBY6cAMfIZ6l66wlv3+edr/j8Do9PWa8L - 5YKWt7Rcq9l9JWGOJd+/LiAfhTw00fyiJkKkieRkwylSsoaMpHV7KVktzQQXe2m5/0GKcGitzWCI - MWhvQkn06ZRZzeqE6H59kDkGygSrzv4N36JOd1M9boDK2LXQHKg2IRRS8nMWgRcKWHqmNVAXP2d0 - BrEL1WdOmBumvzbCj2q7M3jLLixcH1sH4SBvHh8dS6hhIiyhzSwbgjcsukAFyRFLHJXKrEjRdg7H - arCTW7zRUaE4u659Y4fzpExVRrONXbjw+TFXE98N/PUr/NElDmvqiieJXz3izjH/9hV+fiACa5yD - 5Jk6CcgS+SJV7y6rt+7z1ld8dpeHj1g0luTRzq0202RKeidaM1cuGAebwx7JDW00XENubUBLSiT1 - 9Vsbxxch5RH8SyWVwTj6FPaZTFc8nHb0q90Hd6KFxpG5GnxqaMkmWyWz0UZUaj39Sc4nF0LV7/xg - e5HL9XLmdjeSZ+02rks/g04bEWyYRSej7y7gRhgHq1XrgPhh18uo4ctYCKrun8rvtVQaCUct357z - B5f48SEHgaljmflkyXHkb6/zZ0c0wgovFclRk2vkIdX7C/7hAR/c5eNb3HrI6YoYyQWHpq57m0r/ - rjLR5lLcbQfTimwrSOb652St64hFzY3yNYj96eq7Lvd88EpIRCFGtS1vI5NdwoDRUx3n/ndQQBd1 - vwxZyXHh0NEWiskhrDpo9rCkdARDZNDlN89ty4+38tzP4wudbe/3yp41oAa+r7gNDlsWk6Eh087P - qqZe7iz0wDaVwFRbGnFQoeOsDcziiq6rQmuCbgVAlYmOoFuHDBjasgGYE+ZXO0cLS201lvD9A9aZ - lypm3pIr91qazC8v8yeHMvO0uJmjJgPH8H5bvf2Yd+/y25vcesCjBcvGEvFZ492ug9GICltygzQ0 - 0ahKFMvQlNqWzafIVtnN0ZDf60QS037j2NDccMaVkT5DjpqOTkxbPy1t3DlCO63gIF1gv9ja4usS - G9TlWS/goCYIxFG+bwNp15820zKa+Pks8hwf38b8nVc8ZIJslgua3f0ONFth1fAeNPfaFHaTWdfz - 7u2VDbdkJxaNQNk/lQFXCeF0M/fQ+UvDaldBd6urnDKx0tP0mZI60wqOcHtJqHh9xgrmcJJwmTem - vDbj0EuAA4eHNXyc/Dun/NNdPrjDzft8/ZjF2npuYyJFA7S1cUBQroFvq0ylK1sAMVp7l7pGjc6g - Lr0sOZOzgdu0e135UPU1dBNv+pBIV8LY3wgYW/zQ6xjOMqL7OCO6KxkwZ3RZP8tMJ2pX0mqeJSAs - xyd0nbUWJhpv5b4Kcf6ocb6lc88jF0oltRvVsYp6PGZdDf+B9D53683R11ryYe43HI2hFw4GgYGW - WZYKgc7gcZ6qRby94o7S0KS84uCoHFLZ7koyBajEYgMZQB609y1KuUTJm4sQs/HyQri75l+8zOsT - PlpBBY7XZvhKYxJXQytyQ/yvn7jf3Oeju3xxl/tPWGjuvs9vEhNtS85pkMkpA0mloj7gyUPWp6Rk - 4+hsLEWiSeQEEUm0AoksZFhr61DJ2ERHyCMPftT/Ooi6ulCPDc9nYO+lywKJTdTpJY2Ute8z9NbK - 1HpOy/SAMzaWlj4Z2qWVzin186fbn1W6KkFH1dZ6W0LzUos9KH3JybHw1j5aZy6X79vtD3Vn+B2H - 6okJ0VFp9cPhPG2mjgPjXSIBnUti/QbF3Y0e55gKa2+WsZPurbmu4CNQET1VsllvdUeVBU7IEr43 - 56eHVJ7vzKk82fOSE3EygQr3lbh/OHHvPOD9O3x+h/snnK5ZFUSD+iwxFs6StqRxUvH1U2lijPfI - iYM5y4blY1oxdnIXkYxEmkJQnsVaVQSilGSiwGAMtS3rfTJQ9I1I1KQEQ67jAZfRYfa3atTvq+FU - HJjD1uOkx88wcDYs/UzfYyndKn0Gzd63G8i4grF9/K5rLZ39y/ZZh7+clBXelHNK4VdTt9AVYiV0 - k/FWQh6G3fqz0vfqM1e9D9oA4IjCgSMK0g5uovw/DGp2AaIObReg7xCwg/dvmMEhiToThRWI7urG - gBb++hWOJkyduNo1cCT5wOHgHu6jpfvVY966w+d3ufeIJ8sC3xebIa0/NAlJycpbRtY5WAAK8Fyv - qCquv8pywf3PiaHA2tTwZ7KyNAPO6FxCNp97Mw+Ydzugm7L17oNYFs/B2tnPNtpEd/NSl1GZlipy - BUlsxkm9ZZ6HNzMvuX8L3LEiueVk9aAuX3TOlXDG6F8d5ryx6em5nUF/N2TpOFXjqmzJQyVyfWql - O5v6crqDLRzB4YQruU/MHwxOEB11st5LlbY8Fj1bwJq/pEw0moGrOZrRRk5OkEzwps2zwUMbgvam - Bcg0/HYy/rlLVZvn44xmQuPJzk7VhG8d4qGqmCNHIjPnl5I/iP5XD3n3Hp/c5dYDjlesG9bZsAgx - GxzTRhLF4tZ3jFSKZ+57eSMxsl7w8bssEg3kxuJdEjkPOBzzZgf6RpPKXnFbz2BLJFNPuXrEvSfQ - lJTC4GWHbLma5Ky8QJfN1CxyGllcJUXTw6xwMx7lUA+SdzIAI9k1L+gX7d4/Bn7gaBvbc+bQKfT+ - Y2rpLzbKHTtcqXjsiytiObMusMpxfc4ismztg8q5JBXZUevMr5YWXCA7037nynYBaBdl+euGCriA - lCe+gdXVVCGUGMwb/NFSGpotrd2HIi8jl8Ej68w7rf/HR7z/NR/e5c5DTpasWlaFklbdHtX+JlOo - yds+xZkijeZ5WptJkVtWEYnkhkbHl5byVqvI74Jm03Z1/Q6r8feUfJF889latU/thOD57svcf8Lx - 1nw4nZlH3sQ4wP4by1SZrEGbqoXbPOBCMloAvpTqzjzV0j2t+xEYUL/UQp2ZpE2P4niM3rm8BSPd - xlPVjuwQR10TS7ZgJgRHW4EnljkuYHEX2CDrmcM7mmFmD3NW+2RaHg1oSAXn0q2BqLS1YruNeCMY - JOMyLThPCOF18iF+IfnDxr91zD/e4+M73H3MyQmrRJtYF262pNCGtkzjagdwztjSrM3xbdfEFTnS - lHEsMdJmpLWmdx9ZCzmzFpIQxDAO3VSp/jsL4aLErnts/4Yktwk1wbHKfHqH6Km3AYxnqNEWHTGl - AJkHN7NZULu4bH+zs1ktzpaOnaEWjrr2K2cNKBsyzIRuozZ2ELKXLxs9q0wN86LKSR9YMSVRLH7Q - BRAG8W7VlXUhOMMBrIulD5AGJn+2L2/mSBmndN+eIKyAQPDUgQp3mvPN1v3mmP98l08fcusexwtW - kbXSCooZ/pj6P7RarG2Kq6P4hbVpyfKYuCQla91aJ2IsWU7BJ5psROQ6j7FzQ7VTpB14Eef1f4Yy - 1rkOLFSVyCm5PWwZniBUmbQTuV6Sbk6oc3+V3qsZnFMNqu5ptRjXzfOIG3SujHDX3S9l8/lopLtt - /mthLltjj7cu15+nO//w0gPGbOegUHZ2/qHCyMVBZXHd0K4Nk8WjjKs6/R7BCufSTXgfPOFUJj6t - BVcYfzsaYNWZNKACiYKvqPUtJJIQKkJN7ZAY/vc77tETPrzNFw95tKRd0orNare8PkhbNoGcadre - 6lvvYvdXnUuXDMSfB3wNbTKCzli2kgyuJBOrbEl6KYu+V/0xevYpMnCitBmgw8mlQrDaQYD6R6/P - LoPbrf3QF9vVm+8S1TpPruvmHipKhzh6Tu2npJL23tguVd7b5yBGNX725To5GCBSxW1ZWcUmiClr - Hzl0rpfYQzAvq8tOlmOHFCnJMaUnL1L8jx+wmqtfWmVEbDpboj+VnnkiiLPfS+mU15fryg23ENas - hDa5P/g/5XXP8YKTlqaUoaIYK23qilxJbCBFl+JUmFtq+r6tVBp225aUDPYTMyI0iVRGT0tmzcDn - waCtaqQ7TsJnc/pN+8e/d8NE4c4UobNltuEX9e872x8l2NIpVDMQT46927AjQui+yPmW8Rku+2Zl - QOfjdpFAh0fYsv0HxVdupS9g6bLRdMoQ8aZqZ2lcZy9FZXdPmOv/33/7831Z42spF+27YRxgo3wt - j+yYCskVVuNiy0evHmqxcRUKeNZ9QM8ZPNEZkb/SJ6ZEyuHD91bynVmTjEEwRUOXJdN7YhLTe50u - 2hZKkn4ES2vxQBuRaJFuUlCnGKIzZdYyGFk1SEVJSXemLuIpIa9NOzt7JYzVIpWAKaR+4xVgrFg7 - I+FO+xVhMWJOL9qvqXfxxET1Mm/8Jffe4/6nxK3F08tF+nf3bRcbZl6zkAd51G4fYDlWfWWNdsI8 - 9zSm3am6om8r1i20DeUYmvwdW01JPW1+xeErG/+bWpAOoqJVYbVQsWSfpp5W4zRBS8jdG3SKnc59 - DKOEcJrzTUKAJJaDzoA6Y5rGcGRPJSXTLUgOPG7Xr8+iIi+xpmQduZtix1bSNa8McPx5bVZ/FQ3k - HFvjZW8iJGtUjxmi4bxTmbHuimsupZFFg92N3MtZ46j2pfk0bJJ+KJAevJkmHW4Irv+gHdzNUBpc - rrf9Xn1SKkfVYUtAumbwgdJsm+1eumT5+XykEZofkIH2Z4DlYMvS64by352X6JDSujB6aODZt1Fi - 3DDkYNPde6fBGv+1f/DDrKUMTuNIYoO3ElS+UDoXBHUQToUsNmI6TGhXrFSpfHGkB1eMgzdb6ciZ - ZEVcnwOrtmnLmsmkrulcgZkxGfl47DpUBkUujXSbtq9qrRK0VtXKYqeLZY82v19vJlu822Ge+ifS - +f37bH/klZdpWx6dFOjfhgzSZ/1zH/s8CvKJY89n2FdgkkdnkvLxWc36EZ/9O1aW1yiTGsY3s0ms - W9IdPdTCnStI2AGzGxuLYcZTI13YqhBvycFQce2bPSWPnBynjkM4OKRZ4wao5e4kvkKcZejdni8o - paYuG6gtyK5vP7Kxvh5X/H1NlijVXwocTkgRF21zjth0eCdGtLrRE1Ila3hwghBYr1ea4I/mAmX9 - S9NalapZ9rY/tdac3kaaxjDM2relha02Q0Yy64xLOGFN8e8HUbnuA1Vhg+meSK/xZ8a+MfDwccHQ - nsd87vL7bZImgyBLzDfb4OEZBZrlU0FIsM7WK3x+7No+dY97IJYU50QP2OGHdEnMp6n7PtkHlmWj - +KC+irMxJ+2CCPUADm1rG7L0S/SMm3K+INgHaQYV1VpfWXgdoc4kOFbm50Jw30YePcE7yKZ7k0Hm - Y3j5jiC5+7LRESSwaoxUvCVL7hsR44pQUdW0Das1OZaabjvQ+9bafjXb45I5W0nhnyUn00W3iu1B - eo85dN9WNX64p+93/QPWEnnGbr17WyiuF4VFLNB7uiExV4jI8LNdRCi9v2Rnc6B2N5YtZ9dFW56S - drQb3hcrZ9u+hsXRbVGT380Ie4ofU5hz+tSW4Af+W38OATiuaD2XMzVG5K9monZ9rxZFyc7CbmDn - 1bi2mlIHFhGX7FOuTHGsinWLWMmMgVOXKgSi7jDJaMC9ZlDEUhoaPRvsB3zhTUNYdhAjF1itm1YL - W6lQj7S2IJaR3NH1tNbQqCw9sfg8sXCRa08AmZyspgvGvNAZrapkeHq9t7fxlLc1krKunoUcStXC - b6pjKGZjyX7VcaPjO3BL3Kn3Z9zCvsTovq8z5Eg8IygCLn4zdlqK1u76bHSlkASUHUzNh4wjt03K - qi1RJ23mqDziEWGRCtBdP1gBhGwdpMbo4SD3yTfK7u2lRwz0jqW2VnoqbApoHH4tZ5xoxXELLJZp - VRrSLXFZ4Mpdsl8Nf9vZ/ly0P5MiWSy1v45mKV3p3hoWI9qtLb53+i+Y6Ydn0v4ivfYXLtF+Z9iZ - Hur8lqEr1f3G97CTs6+4jRfoCQaf1XuB3aVoDQmUk8ttEwXCdHDFkZ8zENFMpYYKQu2hoongRj31 - nSHrwbDS44WGCPagfyobsJUU1StMVfM9jcd5S7hqTFV5pomFFteU1N/hodU4uJw/FasUKryCshIU - lsVh5UH18HK5ZU5OWS8NupNTYSSP5ujTsG5LsJtwkUabV1Ih6hHIrDPkknYtl1Rz1a+2bd/6gmCY - p+2szyKVELt65Jm4y9GNyJ7ge9+nnYU9NVZr2xhVtDm26Pm+aVtsbet2G/V6XILdeTUpMD7nCsk2 - kAzz3MlinIelGOMw2A00EUllVOPii4/gSIVUq3JER1ZSN2+ZHPFMYZ0NuB7sHASQRKK0IjgOutEY - iaqmWUNryO3uNnbFaYEnS9ZLS3RaQ3q3AFpyHCR5SueL0ZEXn4fCTDgMJV0BNWw4G4ZK2LgVtbsX - XQ/PI2Vx7nj5uyLmXjL1zLjIOxN7npWpXzHCrEJgtU14Ozx4z3oIuzYorXzNhToX7/bM+6mlP0/X - 9d926UtfeHm93TZFlfU2ame2ua+IK8+ksyOlc/M8eKqMZIKjqoi+p+bUpoJQmZ+cPA7jl60yHtal - ATAB2gum18Ko8H23Lh2i4bJAJjaWFALa4u4mP34s9mUDJwuaVeneyoW4IRX/J5m305am9zZZllP1 - PpWxczPHLLCOrEpbvtsy+foKR4S1G5HlPvkGbH8cFAd2n36PZ6BrOHblgrLXPeVbdOWeePGwobNh - wmSL7gWMaAS1/SXrvzNcboW5Y6IQhjJMTrforsXR1UbR0/ncXays8xt9cc0tbEjUUFW9ia2Uuc2V - HvbKCM2HK7kVam+ubMfnEyrw5AbJxkpWKYDUEzLiDSm9EJIjO8Ocu4wMdF1AQnF7tBLFvnccaklN - KAAAFydJREFUOFnSLq2S1SYjKTGNj32jutp7qxHoOss2gcLi65r5nGaFLPrRhefqXOFitd7nlUEi - 6Fwn3lgGHlmz8pY+slOWNbwPYT+UfW7J6Jr7zlOI+Se7eKpr7cIJXAs0EYmbF7IlUToJVWN6H92D - kmFVIDQ6fLfznp0RNAy5SVyxX60wCwCx8Ht65bcqzzlDKp1MlnPTJ5ZwriDVsgFF63JXUxBHU0CN - ejn1tLVX1l6Os97xdYRM7ag8zvcO0s6t3jmEwPKE9aJP/miXeq/6yYKJrAwlpSdAJ2tr/VmEIKzW - PGmgsHnt3OFlI0NXAvy98g0YfgqIaldkeD7xWwt7y2vSWswORfdP1/7heXZIWZDbXO3WfpWYJKpA - W0E6i6dWPZ9Y2k36MV6R4Jj5vqdMxli3TkLqE2Krxr6dFAoqI3rQ3rRkbZBds4vRhDmqythUo5r5 - RFQcRGUHaMpVKTGjR7SYtZUHr8uQFMkGgcYxUYLuCpdKgrh4ehqqIAuaJSmZr6967yLrREpkMRRn - zjQCiSoRk02UCGVtSaHuCbv8fn1qI1zDwGTufdffhPZ3W/BFT//UmFH/pZTMdno4vQ69OOmg13WH - fNTEQ2sdJGfUH9qi1t1NacjYNfXuTGt28YAebGvQgapWqcLq/t/3Xfh+8SvIGUcIpQUMEKqMT0a1 - kDx4xFOVCoOOeHORJLQJX9AQaukztEPTqpGGA2cAeGMKclCZyY4OCMiSdk2TaCOSbRNQBBsJMo1e - qUS6Jw3/7Adcv8xvb/DgMYR+GkIoL2PzkXWGakON8u9a+3s8466643llX9aQPl4cXrRPUZ9b+zdH - bctup+iJ1vKk8DUMirLR9YmgDhlh9yiIsynIQE2fidd+lG0Ko24/7wfFOsvSqNHN5U6gmDaQ4Tbr - LSqgZHKSt25pdQJqGcDRnOGsnJgepgyQMxHLnHZcT9JpkStxMIVTuhuEkQd9nt3UiIwtgGVjbCVZ - idjV+VEgp1gyldJjlhyLFbUnt0Bv16XLrMmmog8BgL870TWpd16mAr9wA3wB+cYuvR3sdmlQ+6uz - BdCp/gbuIJQ0jmxQOAL03CTb30CXwbzLhJVYeXiAopq1nmDIZPCeAw/VGGKtkEzlECh9ADoRQhLR - F3+ky10M8DIuQ0VbU2OIBEqTZ3TUuZ84H8s/OWgJyJr12nqTG0GSUe+r1V9nEEIiOZwjC3PPV3e4 - LaxcQdF0cZLdC2zYVH8Ri36OI7uT7y2HFWPfNbicOd9kdJ7z7gY7FXpXUvX5G2K2pSkvVWl8wpZz - rx7RcpAOaqv+TjqCtAMdxe7MJ97puSlLoTYuQr/JVEL0zBxUhkqoxJz+Lnekdk9z/OoBTaGqmHjb - NCohO7xYTanqwMKJSmlxM7GUCyqs+IUa3IBkW9XimWlPWU0qN7kc7NUaktVlGSwt/vSvXv3cBjC2 - La5R62EbQtLuLaFVV6+0L45cnZ362v2yg/ScUwl0MzlTBSVTTzi4TB0uXkr7/5G0O7tg/WinnQtz - bb0ov+wZQRwvBWbBTJvCB1R3q/E7DW6g/YDHKZYhWF5yGvp/1fqA3oZ195biAJ4qIBWVG8/wElKX - rByAuMwJh+TL+EfN7ZSf9e1LiSsUN7pPGlgIUViOkmz++uXb1u/Ypp6ZUEeu6xAudWAkGWeJbiKr - wdqSAX5YK0SVPug0wFpuLImdWLdyzFNhDs5x6L4pn8r5PU3D5/rw+coaQ5Hx81E5x8JuHQc1lz04 - Wh2m3V23U/ei2UOmZd0QgoeAC1Se1pe15E3JRjLoW9Acri8XCrB2LBtQroeqOPiAbh0OcaydZVGn - MHXkanOXSLkgdsq2UAlrR67IUEfqZIlUfTXd/OMBww9gldmwbX/LXxvKDDL7pzCfHLOOkGgUmJE5 - LUvEFefeZqxn8IWadJDoHD4vrfnHzNRx7YiYeXi6lXHcrhldJOR1nrbh62bz0i9QRtW6Z5MzAuXt - I7cfyHm+l6NJZLGG8XbjHKXARAl+hiUtjQKlLSwMntYZbQ7jieI6y2NIk9GWeq2Ww+ryDWDk7Pmi - /Xgqx9RTVSSPlJFQXfe6llOD4RiMlEkyVEQp8891F6oQYZWZZmTs4GmHrdUlzyhAdc6zveLgXCJF - WxDrUjOL3T6YAValnBF3miv672M/Rw6u8NOfc7Lk7/4j0/lYn55D+zsJGdnH7wAMSFKfTS46EXXP - WQY/PzW1v/3LQdZ449NOrNdbk85S0vmb/TeY6e2qvGU0EBNH9AU87MpVBIYAh3IPG70KqqOVM2IS - PblOadeMpK4NpR6LFVNHFSwCTp4JeEHLBl1TR3I0YgnQaabyJQZQ5QxU3tCKdSYLLaxKTFJ36ifW - P9nfbbd9QawGm4Y9pQDQJMsruUI7HsR4ndau4DcGLCN7pVtegXsn/N//ARyT2X5r+sxW1hnh9RnS - GYZnlu3t5bmWxPn3BHqNHP1m+Nny83yMMN+QFoIzupG+90hVJFB5Fu0AZ1HUsZta2RVQZcDRGUsm - Z1jnGl5diVJcWQNTx6Qy7dcEaxKa0vLrKzKGqDeQnMIcoNKkvPYDDHiRxTHJLIsCDCHils7qbmW4 - cTla30MSg2eVCSnYDenS1wBcI90WA2AoPVA4E9ewqeIKtzpbR+VZMf3nE31elTx9E/hGb2NTdt2M - GzzAri67KeMnLG7vWhpme0XfOpYvGo5MbLRVw9sjqsZu7aha30lpIeqpHEo6tSPx1jK55Xy8RRqU - RThxVJk1REXyVDjB537iW1Zgj8LjMtHjstUKtAtqUhPLZICzzfFO1jANY6IjamCdA2B6LwPV72cQ - sLm+h7LJ5Tbs5zrb1A0tzTchg0hUX60iCjsxQNt+jsvdZ32GbOk5pMugc370lANnSW590trT03UY - Dg9TxtLgLEZV0ahXuwJC8XNSqdFuSGD8SEEwjo+VJjk8oeAURAFqFVUJiz3UWIdKhOzIjipb0lPb - VqoCb5ZsYWQotqDSUkNFSsTGtLQv7VlCc/Q8jwfG123lIXWkBdA6XQB6RDa+FI0P1LM8mLJc0+6y - kV0Lb9zGxpwh30SJ92zZZXQ1zA1nlKKfetYXEiRc+KqjBzjM7US3pfpnSrX9zUsx2PaBwRuvSyoz - eRtGVHskUTkOPTkZMlnNfeWoPCkw0ZSJWmJnfYloCAFQbK52rpSVYFGsMz1MBTpKYgV1GvRAM2KF - sV96C4Ta4SYmUGoj448EwLhCNcKIBW2rTtHRAcuWmKyk2o9ezNSB2QHScNKMbf9O2fBfn782tN8H - 2HnwpjsmNkfx2TOegw3hd7ASXBcHd7FEKS/29Izlrz29VDakeveCujlO061ePK2miTYtlK5f5Yv3 - 6sN4otYWEyL44cd9mcjimTi8h8ocoQC+JKmyt6isKtPOXS7OmIz5DB1UhGgblwRyoaaUcl3tgtdy - WHQsHLVOQ8rMM3MpvI5QCZNA1O+faAvlY7/jxnLqoTOK8NUDEOoSB/e0JYI4phUrX+AZZ/gzW3mJ - 55UC6H1OJ2oipVj4fDLcDX6nO8PTAmtF+HRzhywXpG75rmR/KGftKQsqxFF5JsHMeaXbZrKGYJUM - zlvRt66sCua0ebc84eTwqr6leTCWHCil4kRJrWqJLZXmG1fC97xFMyyxp4Rpve1f+kXmBRwl5fv2 - 6Sy7qwAlbMo785tlPpkra6CzPTHy6LgMleB8tv9FidtdtL/QGfRb284gBGH9HMtJ9+vfTTBdy8j5 - EW9urjVelhwOpRDmCh5h5QnZtH8NVffuxpWcquyuQTH9hS5ba1xNoWLOwmTchl85QkVTUUOlLQEO - sGKF2vgsVJms/k+yupW1VXnLpWq/WEw2pSF0y9gVtt0B5i901W6MlLeXQda/bWDArKHPsLYFkHdb - Zb2P3uoPH5azpMFu8LO9mT2//z2RwVeuBSqq/Fw14Oddk2fK8FlWW0xvQxj28Ej16RWWnDYQDer+ - jZNOfZO+cmg6m6ah8LDh3WgFTWFC3bJ3nkotqY7A8BbjKkhbmbAchtWJ2fIrCUtGdf27hoEQRG+v - 0Gsr/5LrtllfonMNM85OvWz9Uw2DpMOuT4bxfHoYeN7lv3vD360Tap3hvB0hv1uRCl9RpmGdS1S3 - Vi9wkZ+zalYoSXYe1vemOfPm3R7SrljWRn/9cQyto101YM0y8vEoToEB92EqBIfXbhWPd3aM4tvI - xgduWcMy/tkPyh06vneqv9BuL2+A+amyUvuS/C0pxE1X0+91Q/ZrXIACB98tXYpz6G6e7eNuvzwx - 7Lhk6rQLbfJfWiycutBnhAAzKbCogZzx7c4KD86/AHZ9Vn3ubgeQcifdwRtpgE71e+rCwUU7UHSV - N/5ldLfB2czg6JhUxAq00As597jO1Ln+FVLUJ5bW4Vy+cl2mBKVCGqtdAUqVFQo5e8dQ1KdkOunS - EmU/hLPV1QN86xXqMknYURbZVm3rXLLzsIyv+PHfVH/7v3LlGm2765j/ciIl+zYqHTz1U8Jy7Is/ - szh/Ae1XGUdyUPyHzY/ufJX06jvqCirITSUQP89DAA6VsNb1nlI/PTfRFAClZJK3YNTKA9lYA31X - qynMzKq+MzEPLRWPa2hYulY4lXpshtxkB1Zgx8vSOsDX92kFpLTJDN/HMNsoZy6mM5+XE9b3093P - aeLvmfnX/bfcknLUaJC0bdqH8mzRwr7vvuF+7GghGGcAJVM5DiY0TT+6r4K06zVvaoKmQfVCW7dn - uQEd9L31Tjfv35leOocTpkIFa0/jjDijEnw2SgjKtEzNPTSeJEzV085ILjuDgFgsMS35KC0mrEvS - YlXKz2iNosz86neDdjTPRgqpteIg6gL4Mxd+3RmJ7r8D03IuOfuwipT54h+5+Q8kT32Bstk3LrIH - LfSidqmngvbOL8JoowgdEsGPHn83nGsEBxxaNCyy7GSo1mGXizUqgZdTmX8h+GL+DRUnhNQ71TrX - unWjKDq7Qg1WOmA6pvWqxNuq+kpAFqDJe7sOnTfCwzNy0DXMs91V07Mdd5VgPZ0fnLp7qE/Nap9n - y1arVtmc5N8f2WGSC/BLs4EKrjx7N9gpqvp1sHxf3GP7K9kFWQGK7lZl+nTX3SaZ5IgZljawGspk - Lv0GYtQMqPkvIanKdBAqxKKyFjRXPWnCbmqWrspWmO1caWmPg62mEmMUVSOdvPk5TYaSlp0OdhhN - UnWFPMSS/abNFRTgdPQ4YVIqUVKqy42zytVkC67ShQq7meHseY0txAXk3GmQ3y/P52x5QUvU5h/m - LbD+eT64D8paHngoUa9K/4PYGfqa/2ATCIMjO3yo5rtT6bSK+wIAnQ5fuCQEJBM8KFfPgF1Ck5gV - ZEcsTnw1NqxSpr1vdK3E8i1W2XyhIKwyMRXqaVe+5jme6TBFa9fNfYhfdoCuaev8Sb3fSY7/mXGa - wRNg9SIA/bYb2A0VY3yORx81K9dYWGknuEgBeybmkQ/voaZX0GHkEK2yM2AszVRDSkBnO3zXG1AN - fDMbm6WVgXFAMvQLuteuoKDaMykDCPVAZYXS2tbKMXOG7lx3ULyBn6P14H7ZlNWo5YJVNoRBU1lX - gJJnAY0gjjlQhsh3hJCaytZM0c7nPMwdedfVvi+i/WeMctiWF4JZuKjoiCh1Fc5PYbtbBh+/6ASK - fW7P+WWTzKIUaLtRFMNrOXoki1Ow077v7mAYmXigJ7UfSscHYT6UWCxbZQJUig6CCFMMmuqwMLev - HvkBx4mzbhid7dWMiwwrMX/MiZHpB2GZCMLKlWqXYzYz40Kn/cOvdo7XZMugEPWfT/u7zfccx9on - noqL3n+t52pK1BQEz639Y+n0SZNFKhcKcJ93QRbu2xE7uev/2zUtSUl06s+ptHdVbhzyllmAXZOu - ZiQ3CIylA+Q4gJR7JFwsNHud6+LKhAsLM8CJjegTcAX8E511BZAJztoJQvmOrvhRUQgZP4F1P57s - 0owg3GvsHs1M5EIEX/oZn9oO1Zx3ATyTLraJesZP/xip+M2/Z3J4gc9aPFTjPPK0jMxOS78T1/68 - Mj5hfb6nPJQXsCAHnrR1YG/kcLq8oRi5Z89ZW3wMKZVgdZCkkI+rHGTSYBRF6t5/cb6rMiBQr5iK - R6SijquHCpri4VSFRrYz9rHYjlBIEWM210iDE1eKwXUmtmbadRnff9JXb/ux24PnsADnbIb2mXIe - Y/6sljg4aPnwbSpHPXuWM0hrpZOzxVWWS35GR6tzkc8XV3XSkSo72RE1vpDU59ki25Ori7h9Bzgz - d6EcoCGstZ6IAYe62r+i0/KwNOERjU1LbSs5DrQzRE+ijNBbPpV6aG4DyJRx9EzDlgDFRi9reuaJ - Ej8OPhXKkI7O9TcvXvopJFKCon3S2KXYk+jceqNVmSN0Tj3Tw5o1sHtIyVM/+7sR6SZsXkgGKtJD - RQCN4bS0+Y2tAaUnacu8VHY1Una96sC8GH51ezdWRaWzJ6QsgNIYAKwhF8IIcUy13OuplBUc1gr8 - FCqoZRB2Z2t+X0MuGulKacKeTPGQDSsxcN9nsNLxeALJ1oxzRtPVdg3BMBGcsHZbCTHpiXsZn7zt - qxk73/ouj0i5b197hYNLF8C7VwV8+42KpGc1/0IUZhO+/ereitjTz7H1NM6cffGCpOQ6zymdxhui - xvUUWpUwLTVXheB3b987vLMV3u0A0vVzOWMxCYqUdnhXcqma/h8/CjeIVexmoKtGOz9OapUlHTYe - 75ZNacbn3CtlNikj7d5hN3Z/XMEYiwXtuV9vJSR/Di6J55ZnX2Daox15cEx0m3iSZ796Sb/0294z - FdSG9ZlRMk0n02TE70cx6tis8W90utYcEjRF9fWEVlTyVtllYBuH6tU4Kiy0WCnjVTmmJ3tLxiei - FOJu0DejANIeheqYeOMeDxkcVbC24Fg69INjIkbH1g6Khv2MKbcHxbuRBJPBYut/fyYceuN0Dh5q - J9n5esmjcGnCfMa9x78XVbBYIOlDOKoWz5frwoHzDTvu1VZ7/k7ZHKTQ/X680Z1/OPHZolPAfADf - M8ueExETvPXaUpa31wpDcXtQD3FQv9NjbBcazNPWtpuYkC5nRb842VUyv8iX3PlFws7f7pUL3UFy - nETWp7ZLvhBRfNUzLCcdUzW/wtGc+3eIeVwj/KZVv+PVyVQb3CduF+7Ibf688ZX3JvgxFIMyAqUS - O1YFa6D9YkGYZJJj7QiOqsuh5VGwC1BWbCjUIb6kRJVI3RetWGNEXVUB+Rht7RbOvHJIVeqDQu2N - V0EcJGslW5dQODqCJyQDXPSIiRfzvr5Jw6z1mlV6kcl4TbY8A1ItClXNm3/BL/81bmKsGL188xkb - FIo44/AKs9o4B871Z8/ZcHuDDSkKHf3I+/fOuM9iCXk13dmxelYY+2xHUC6eqphqjZWdkLBeRxXf - BbK5n2ehtyEZvzXcQNAeTRuokbrSbAJtjyyTBIza0SGOWZfvfw5xbmPlfPPAzBeo/ZKZT5nPeHJK - ux9WvbP2HBwkPnmPm58R2xHZ5e9MosdFYxp+IbviGWcwqp9B3KkYmA2vaSoWiapzP1xvkwIywzGX - PjjuesT04EkhD9fzV2J0D1qJ98P0dBnoQmU+ks6YC9ogFq2mkcrC135IHQSmV7fszZbDsjFz9gU9 - wt8/cZ4nLavWft4p+zJUzpMy6RZNNvPzuxdNWa7aQtr8/LLfc9MXq+qeGJWHR8coNZWldag6CKpS - cHojNcHhInT5ShUHkDsXTgp3TtH+PoIYvBTv7OG7aPVpHbybsvWXadZkUqYN4IglhbBzSwzP1ZY0 - xo7qn9+9nP+idalFnC27l0fJ/T0laybEaNtxD118cQ/nRaIz9p9Kn1JVcild2dWdkaUdn02zOnXh - 1dEnWg02rqqAzwwEUR7s8PzqrydPKmFx5agFl5BIyKRMk204QKrwgdB13A/up3Usy0jjjdfXDi50 - cfn/ANHiFQpQD6y8AAAAAElFTkSuQmCC + + iVBORw0KGgoAAAANSUhEUgAAAIAAAACACAYAAADDPmHLAAAACXBIWXMAAAsTAAALEwEAmpwYAAAg + AElEQVR4Ae29B4Cc9X3n/Xumz+zubN/V7kqr3gVIqAGiYzDdYGyKARsnds6OHfvccolzie+N7XvP + Se4l9p3bxe+d4xIXwCUxyMIGgaimqCEJ9bpabe87O7NTnvt8/6MFmfPZgMGMVvxhNGWfeZ5nnl// + /spj9uZ68wq8eQXevAJvXoFT9Ap4p8LvTiaTNm1aqy1evGjO3Llzr0okEss8LzArnogn02PpRFvb + kZGdO3duOtp+9AM7n9+ZPRWuycRvDE28mMzPc+bMtWg08oHyioovlZWVhYPBoPu5uVzOuru7bWRk + xHi9rDJZ+WX+sHEyX4uX/rbASz+YjO9DoeBSCPylcCgUjkSj5nmeRSIR473V1tZYXX29lZeX++bZ + ysn4+3/bbzolGACCfzTMqq2rszoesXjcilrAs6qqKktWVMAQYS+TzqwSc5xKa9IzgAhaKBQuzGQy + Fgh4NpZKIehm+AFWVp6wOMyA9FsFfkJlZXJ5U3PzqUR/m/QMEI6EkfZADAVg1VVVfiwWg+BlVlaW + MH0mP0DaoK62ztKZzHw+P6VUwKR3Aqe2TMXmBwqZcWmAoCcGEOFj8ZjFxrM2Ojpq7e3tNmXKFBvP + jPNxvB4V0HWqqIFJzwA1NTXNqP9mEd73fSv4Bfcc8AJOG2AivJGRYZs5c4YRFpr5NgPinzIMMOlN + gBfwrg2FQzZ/wQIn9VGiAD2ampo88AFPTFFZWUk0UGdzCRfHs+PTAoFJf1ng8eKa1L80RJjHurSQ + z1tlMumj7v1CvmDZXNakERQB4Pg5h7Cjo8OmTp1qkXB4moCjU2VNagaora31wqHwxWIESXlFRYUn + pzASjigygPBxfWaYCVOUMGPGdMtmcwv12amyJjUDNDY2Lkln0lVS8yCAXnlZuXMAw5iETCbtwCBJ + e21NreWyWX9K4xQYIHsO254q9J/cYSASfq3QPkI9/wWiKwJA/UsrSAvoUZGssClNUyxcRAeXJOLx + UwYRnLQaQABQOp2+NA+B5d0nkGqf/0R4aQSp/Dy+gZihsrLKGhoaPaTfBxPwA8Hg508VFTBpGaCh + sSECwne2GGHRwkVex7Fjvl/gfxhiQsULD9ADGNjyAEJoC0UFHlHC8lMFDZq0DFBXW7tqfHw8kstl + C0uXLrWxsTEH+4oBRHRJvx56X4SClQ+IWC6f99EOw94pEgpOWgbA679eqp5HoK6+DhXfUMT/MQUi + fBwkEOY4Hg0knGkYS6ddhhAz8A0xxamwJi0DQLw1IqCSPbL7NTXVXjAU9BT6aZWXK9TzgIcD/Et6 + mNCQbexvPvOZu3AaP1cP05wKa1IyAJm+AKDPUjl9CxcucnQsEtycKRATjI2lnDMoH0F5Aqn/dDpj + OAk3YiL+R3VV9SnhBkxKBmiZ2jINRy4q6T/j9NNx8PIWjUUdwUdI/sRicZJAKdR/HuZwZsIqQQUp + HAElzMlEvA9/8dNvaoCT9AoA7pyhU1epVw0VPy4BhLMXChL7O8cPhiAfQIGQMwEyEdIIeWBiRQOx + WNTDD3jnqZATmHQaQCodvH9BLp/z9XratGkGsGPCAyTh5IYhNs4eaKBqAYB+nfoXw8gMiOhoB5/i + kbjeT/Y16RhAmH8yWTET4kmKHUFR51aO9w/Ag433XQ2A/qYQcILIxfeEhvosHOa7oYOKHCb7mnQM + IJCnr79/+uDAIJJPeAehqQhyIWAUiZbky/bLBID8uYeKQhQaOu0BA/zFX/6lGGev8ILJviZdQYic + varKyqlk/fzVq1d7qgWgIgjJzlt1dbX19fW9UBBaJHgeiZc/EHIAkZxCbZMdH++QNpnsa9JpABFy + eHiksauzy+X3QQOdxNMAQk4g4eg5kQtQHiCRKHNO4tDQkFElpLSxf+zYMUuNjS2cMA+TmQkmnQYg + l4//FqgLhYNeA/X+IraAHtl4VQTHMQuqDpYZ8H0xy7BRB+jqBRB+S6VSygUIGLqF72Yg/nsnMwNM + Og2AWq/L53MBIXt0AkFo3xFfmIDyAZJ6efoK+VQarvfwh0lTBPAVKqsqXZFoGZXD+AVrpFEm85p0 + DID0N0raZfOlwoeHh5QWdvZdn0v69Sz7TxGIo20wEHRQcDQaswGcx+nTp5tMAttl6utUJDx516Rj + ALx8F7tJ7VeQ0IlGoo568vhFdJkEQjz3WrWB7jN0v7J/YhglgcZSY/beO94rBuiSJpjMa1IxgGr5 + yOglpdIV+k2EeTSGuthfYZ0+U/6fygDoWoT7ZRKkGeAP1x9QVS1YOKQi0tEJBpqsTDCpGECEBO6t + QMjt0ksvAwauVZk3xA3aaGr0uO3PS7IdPSX9WjIB0hgyFdICSxYvMZjAg/hqIZ9U18j94BP+mVQ/ + ToSlmKNC4M/ChQssBcBzvCbQ5QFk1wUUidDy9OUDyCTIXyBV7KKB/v5+agPD+AIDcgoXowl+fML1 + mnQvJxUDyGOnt6sii9Qr5u/s7HASrZBPal8dQPL6JyqCXY0gzKKcQLFIJO6igU56BHLkCJQ8gknO + n3RUP+EHTboYB4mukGpXcQfi7eJ8MUaROeI2DBPotYpCpQXkBsg3KDaMFBNDRWYQo2T+itzAsLbT + 9pNxTToNgMovk1qXGZC6n/D+JeV6PwLwoyER0gLyFUZH8A1AAGUCZC7qAY/EIFE0BTDyzlg09t+A + licj7d1vmlQMIMlHehPOGYSIpIRdSZgk2tl6wj45eSoQUS0AgJHLEKpewH0XUyFzUUXOgJoAu/XW + W+9CQ7w9+SYDnBwCoMQPRE+oqgdI1+/p6SH7W+wFVJInkxl300HEEI4ReFaNAGVgYgC5BOgEj0bR + WrRFudVUVwdICn1IKOJkXSeVBpADt3jJElty2mkQ7P88dalyCJmIoeKZ+MFLz1POX1k9Qb/y/kVs + 4QIyDbL9bj9oDjSEB2PgEfjON9AAiUZmBtAttIYqot/oK+n7qhngMCctf/yfV7FEf4oaOBcuWlRH + jn8tdvme+fPn/YYz9eXRJ5wPgAnQCoINNNQ3uNBOki9fIAI6qOcw+QIRT+GjPH7E35kKvVeeoKgl + ciDEsdPczk74R6nlxYsX1cyePfv6OXPmJGV2TsZ1Upy1iNTa2ip7fje1/JeXV5S/HQfunc6LP+Gq + C86tKK9wTqCQPnn2qvBRkkcEld1XckhLjp4EVwCQ9q9P9Swm0ZJ0yw/Q9jiPSybAI/1NxJ42dWo9 + +9zC+fyIWQObOb+TsqX4N6o2/chSWrLJEP+mTDp9Po6ZI95PfvLTK7q7u+46MTyTIgYDSI6lx0wV + QRPl3hMRQMrGnIRPlIIpCphoj9V3HQPgIKpwVOih/i4fgZTxAvkBKjLVkjai1/DPzz77nKliEr43 + 88knnvw8PsdHJrZxG54E/5wUGkA2nMTNR6659lpXsKFwbnBw4AwR4sTFNBClfCtUCNrX3+fUvP6u + ki/UPYWeDip2/oAILEbQZ3pGETjmkMYQI1AXSHgojaAawcgctZZPLNc15Ptnqd5g5syZPm3o1j/Q + fweMWsSYJzY8CZ5PCgagzLsS1X4W1b2e5vmJaBBpllT6iUs2HWapOu+88xwRpeblD6joA1q6kC/I + Z0XCFzODLzAAHKDPVTSqIlIevpjBgUDjmVZFGBNLtYashTIXOJOe/AxMREVT05TVE9ucLM8nBQNA + hEuQz4CGOUidH/fUqwBuqOZ4cSmjh9pPzpo1yxFeGkFhoAg7NDhEarjo9EnCRWimh/A3NYZYkfi8 + EMMoR6CIQMe78cYbNUqmRd+ZWAKNCDVrNVcgTmQi/2Dx4sVyGi9xpecTG54EzycFA0DYy3UthdSJ + DiKeCBIKhxtPvMaEgeWMegs0NjY4RpETqCWpHxoZdgWizuwft+8aCCGCS9XrWQ+ZAiGFmiUo0yH1 + znMTTPgCB+A2hmESZ1KoHdSx/OXLl2u2wApplJNpnRRny4W9SHYXxczFzruQTo4aOf9f6+Dk4leL + AArz9KyHnDdJstx8wb5iHNUKFKMAz3n7MJL7XNsrHJREq2hE6l9ahc9DvCa5UFxkC8uJADBHERcp + 8N6ZJvCFVUQHE5udFM8nAwPE8fTnKJsn8EYqVkQVzAth6k5UzbxvgLAekq8pH079i4ByGvUdtX9L + jOUASiuIK0REhXyy4yK+mEHMocdEIYlsPfueMkFRXtcKNFSN4USuQdukRlNTAKBWTWx3MjyXPANA + nBUiBlIp7e2Wg24hJcSv+jUGCAab+BDS+NLpTqWnKO9SaKaRcLL58Ib7XL6ETITUPwRVlKDkjyN8 + UQPIocfM8B2ZBs6j6fjh5S/U6vvKJWjb4wzinEeqkt574jlNfKdUn0uaAXQhFy1a+OciwNRp01y5 + tiS4KHW88P3KEy82hJwlMy6CyJMXYaUpBocGHRgkp9DZeQjrGEAbs7SPIvE1V5jCUSDlIlOEYZxK + BkxJQwRf0O0wZIuqjt22cjRxMrW9EklohTuAkWvdjk+Cf0qaAajqacbWXqHO3aktLf6ExEmPi8AQ + ruoFz0yENG+GCCzPXkQR4YQAMiSabp9+Ur0NjnlElwlVr1BPGkXflhnQ/oQosi9HVHDg4iE8e4EB + OEaLqojEiAKi4B8KSTK+xs3BFDFwiG+2tk4r6Wura6BVsic5m1CORMv/k06PBQX4EN97E7X7L3rt + hUp39Yu/RdJNPaCsc1GyHXF5r3TuhJRKcp2NR9L1rM/FTK5IFELqm/IbxAnub/wdx1O7fIEB+LxV + mmVCcyhJhdPonbNmjV144YW2ZMlpV7e2Tn+fAKxSXyXJAKrunT5jRmVXV9e79XrJkiXF8W0QBoYo + 1vAhpawqqd6JBWFiEypcWT7F83LUerq7XaFHZ2enmxegYhA5gdIQYgLtQ4iffAIRdYLw2q8iARfb + e17rxHGIImaJkfS9ourne5wb5sKayCCqFwETs/JNBpi4Yq/wWdj/yMjwBVzACHl9mzdvLtKf8YrJ + HDft28XgCG611PbEQvq3TDCEEkAishiiCwaQXyBHUA6h+gG5O4gjdpEJijUBUusT2kUmQH5C0dkr + wBde68S+0RizVXfIOTnz4QpLYBxFFDI53IfIh/mmqqag1NeLV6+EzlQXErBnmezrqlWr3KCHF73x + CNq66OCB8jUo3NMqquPwP5Hz70zhM6DBncMnwgsB7O7qclKq7WS7JwZESOk7pw+GUXgoIksrRKgZ + kGqXBpBGgFFeYACYbp7OkYPCBOouchGJYxY1m8CIgE7hmgmG0fmV6ipZBoAAc3UB6dRF8kOumANp + lCkA7h0vevqFwhS915LthzCDeOL/RQwyodoV27cAzqhPUMwghE/euogq7SKHUU6f9uOcQIiq76oh + RNIsBjg+Ti7JNgIPgkwVifN9f4JRtI0YQUzRSVey9sX5VOk4pb5KkgEUryOpUyWt6tUfRm2LwEih + xrkWic97CDALeFjCzkWnEyiX/TZSfKdmAKruT4wgog/2DzjCqzuYHTkCy6sTofR3MYP2P5E30Gup + EBFWRJWZkJrnuYpDxWVeJP4qHZep0MApAU2Cjn90z48c3sAGSTFQqa+SZAARBNi2XhIm5+zna9c5 + dSwYGMHzIKwnokDAGLj9dF1kzQJmXSJGEBSsWgBVBitzx8QQpH3MEVNEcgSExtqHIGWFgWIWPcQE + YgDHEHyOGXImyJmSaHQGx6DnXIcCj8BHEK/oOzq3u+6626opRz9z+ZlohPGYTEupr5I8Q9lfJI8B + n3VOSkdHR+yHP7zLEUWiiX31r7v+eoVvHlHCzbrIShQRvt0trSGVQNmY+67yAK3UB1BM4qRUtJOj + J0aRVLvtMQHF5wlUsBgdSNvAjFiIYs4AL/9imObMCefQoYgwmRjin//5n01dRStXriQzuETMF1Vk + UOqrJBnAESgUrKEG0C6/XIlAV+hh3/7Wt50Xj6PnCdyRfa+oKP80Dt1KGKYJ0n8Byd0gDF/FmsIN + 1OKlUFISPTDQj/ovtoaL4JJa2X/nrEEr9QhKa4iFJogsR1AOqJiAieKfp/7vf6oKSOZhkBSz0sx3 + 332PO5aYbcqUJnIPqH7PYzBhqZMfQKwUTxHihEnrhriVq0Pvzlp9lj39zNNcT8++973vuYtfDOco + 3IxGK+bOm/eUCCvPvqjiUf0QSESSih7AB1AIKDOgiEAaJVmRJEwMOudSpkZLJkFSq+phMYD2JUaR + JhAaSa1JiBGySfYjs+AR7tnmzZvd3+VMXve262zV6tVoL5mnQEDmq9RXSWoAaBYtZvN8W71qlV11 + 9dU2Z85crqWyd/K4qe9nrIuiAWkBzQGUQyffQQSTTZd9Vlin7wgAkgZQh8/g4KAzBcrjy0yI6NIA + svFS2Pq+9qP3ApGKZsJEfLvkkkvsjjvusNmzZ7v9bd36nIOY5XDecMPb7ayzznL1gjo3BQxosmKI + UsJcUJIMwPWKihAKy0SMmTNn2B//8R9bc1OzkzapZE0A/eAHPui3TG1xpV4CdzQGVqlfMUga4kna + yxI0eIDRD2IKhCIKnXOWGYaYwAOk9qUFBO8KPRTTiCmk/qUJ9LcF3HXs+uuvsyuvvEozBDw5kvq7 + GOviSy52zEF1sGMchZcKHVmlen11bm6V5AlyUaPyoEUsVefogkp63/f+909cdB/b6yOR3p133mlX + XnEFUk+XD4TUPACFZSKciCgGmlD/MgEK/4T9a7/aVqpbRHQMgCRLqyim1zH1uZJI+p5uLCkCU4xq + +/fvc0kgHeOjH/2o3XzzLfgZSRtAuyinIOILinbe48SVLtHnkmQAQjN0OWoACZMqlqRKpava94Mf + /KAk1WnrD33oQ05l33b77Q7e5Q1fEzonIo67AhARQ5BtS0uzdXV1urBPEYDTAhBFs4FFdDGKTIeI + KtU/RAp54rW00axZMx1Rv/71/2H7DxxwINFNN9/s+hW0M/kLYiJpJxH/+DmUvBNQkgyA5BFgF5s3 + BLaoWEPSLELMnTvX3ve+97v+/yNHjrjIQLOA5OkXnb6iatcMYH1XEYSkWKuZG0MrVBOxHbNAKEG3 + Sg5J9csEOHwfKdaxxAB9fb3uu7NmzXIM9MgjG9zY2QsvuNAxhe5G7jACIgMxgKIOYQs6F/ySkocC + S5IBkKCciCSVrnv8Sh1LwtTcKcIqTFQ1rqpyU2OjNkTZd4LQq5jXL6ZxRRTtQ0QUBtBxrINJoUwO + 5zOViYuhtKRs9BDaKMlXBCCNIwJyGMyEbjIZhXEGnNTLDIipfvnAL2k1H+GG02mjIcS9ltSLCWRe + xECcQ8kHgiXKAF5WhNJUb11UJYXkcIkQklZ9poSPPtdIN3nq+kwE1Dp+8R3xxQAiisK79vaj7hax + YiQtfU9Sr+X2CaMpvJTtl02X7e/p7nGMt3fvXmdmbr/93Q5WFnO2tbU5HECVwypaLYZ/RcefyABX + o+TpX7Je6ihELIjAwuJdPR/hmiTvwMFD9tOf/tSp2osvvtgu4nH06FHbvXuX0xYivoipaEBSLNU+ + BakthoSaBDrqagMGcOac6j4u6WISSf7EMUU8MR21CI5Bdu7c6f520UUXOaRPjuQ9P7rHHnjwAZdN + 1PZYDhdi6rg8xgUtl/oqSQ2gLBoqGqBt0JVyK1bX7VzWrl1r//83vuGIr4t78003ccv3jH396193 + 6lkevTx7EVOElBYR0idCu4gCZpL063O1fkk7DA8NWzcqXGZGtnuCcXp7e9zEUDGTmHDLli32/xFx + CEd429ve5kyQ4v/1D663xx57zB1T5kD7dOFlJJw5GaDgkkQCZech3ACEr1aiZf/+/fbM08/Yuvvv + d4RSlk0oHjd4cqr9KKpYS2pYKnz37t129tlnW29vr5Piffv22YoVyx3xxExiDmkEgTWJBLX/YziD + hH5iFoE4chrFfCKkspFyDKUNHvjlL+ypX/3KfV/7EfgkQOmb3/ymMztnnHGGA5bEcDBwRr5Gqa+S + ZAB5X1zA3q1bts7cs3uPI5xUcJm8fRy/H91zjyPC9W+/HiKQ/UPlKtUrxpG09vb2Cbd3rxWWiYCa + Hi5TMAqDSANoFtDQ4LBjKNc8QhQhp07dQtIGgqF7e3pt165djrjKLsoBbG9vd3mGYZpMtB/nTHK+ + X/va1+2aa65WAYvTUGiKdAyEstRXSUKVNXjrSOKVeNcL2hndLqmWxN1www32sY99zBHoGJ+/F1j2 + 8OHDLgoQJExjhnPgDh08CDhzs+sIgjbOF5DDp8hB9jkpYrOtkj8q21JUIQdSxJ9gDjHBXXffZRse + ftj5FuyYMLDb+SOLFi22P/vwh9E4FXbo0CEXOSgXIU3z4PoH8QV8Mcox9vFlaaFSXiXpA6i/Hzu+ + T6pUNtnZaphAqluVOmIIZd7SvP+bv/4b+8p//7KTSgEywgPkA/ztZz/rbL/GvKiqWCXbihy0ZNeV + TZT0KuzTfqUp9Fr7FaS8cdMm27Bhg5spJP9D+/wPf/Ef7Dvf/Y597OMfc1VGV1x5hTMDMidoIT8L + k2mfv8JMkMsY1v5KfZWmBoBgkXCoJRaPXkv8TwFIwY193bhxky1btsylXCVxubwgVyVqknbhhRfa + Y48+ZhryKJy+g+e2o0dcHoHqYqS/OCGkiNQpw5czgTgyIYKOFXJO9PVt377N/vPnP+9UvzAC2f/v + fudfXAcw9yAmiRR1zCWTsW7d/WiNYps4hstpFfAlVmE3/sM/i1lLeZUkA0gNV1dXcuX8j0j1S2KV + WBOypxz8JZdc/IJad8O9cBR1h/Bzzz3XNoDUSaL1vba2I85mq0hDTSGK65XVUzipJU2jwQ/ad2Wy + yjl9O5/fYZ/81CccQpjLFbD7Lfb97//QbSNnczxbrAQOY3J++IMfcIyjPuZHN52mKAUTQuSHEsBi + 5LZ1d/V8T4xVyqskGUAErK+v7sNLv57LSVMm/Z6AavF4mR06fMTrw66edtoSHMJy1LYKOwXo5J1t + p5XMHiQ2V1JGjCQE79lnNzpbT3EPBK+AQLL9IIdsI23Rg7MXDIhh2sgw/jtnCuThV1fX2pe//HWc + ybht27bDbVtWFrdyMo8bn9lod+OMoj24JW3IB21kUIRKzJRsom+wkN146GDbPaUOBpUkA0hiOjt7 + jJqw0WAgdH3AC4LvBDTyzfUH7ti+3Q4dOWhr1pyNJlDDZ97hAL3E83PmzCUuf9SFb1LvIkB/n1LB + Ge4hNM3mz1uANBfzDIKRZdsPHzpiu3fttU998hNoG/yAygqIX2V/9/f/lec6/t7mfBFMEj4GdyXj + OH//hb9zvonqFvBVPIWPmBWmilCzaMDQ+dy6Y8c6f1HK0q9zK0kncOKibXtu+48dvMZcgGBQN4Gc + ysi3OsLBOLjA0/a5z32OMfDcFh77vn//IXyDFvf8939/J4RVMibM31MQNGnPPPuMfelLX0SaiyCR + GELlW6oO2rJ5k332c/+J7GPIklVl1j/YY7e8651W31BlBw/v4ehMF2dqfEVl3A4e2msf/+THSP32 + 812mj5fHCC8ZQ4fJiSdiMGgILZO0tiPHSr8kmAtdmjjAcQ7wC4HTlBKWxF533XX+woWLXQRw190/ + 9JSl244m+AKS+MEP/CktWS0QcjuaoJxkzxhVQhWAOf2uIEQ+hIpGU6kRMIJunMN2Pq8AVj7AWPl5 + 2Hv1GYwTTo5QcIqZgMHOOnuV7T+4h9eKNsZhAM/27d9pn//cZ3mfIjqI2BnLVtoFF1xgZ61e4997 + 7/12zz1340yOSFtZS9OcywfBGXLsu5RXSZqAQCBic2asmr9wwdL1gYAfOwdVLyRv77493vIVZ3o3 + 3XSjHetoVwuWHWvvsCNH2skcRuz00890puOv//ozLkOnCy8br9AsjOSjll1Vj3wJaYdn0Qrr1q2l + qPOHmBI1jRa9eBH8+utugBk0gijg/If7QSG/+MV/dIBTdVWNqSr5PXfcTnkYySgQ59279nlTpzV5 + +w/s8b1CzCtPNFU1NU1b19F5qK2UG0SK7nDJsKhn06eeZk0Ny9fEI1VrC9ZXkfe6/GRVxHvnjdfR + MDrNzjvvXFcHSBIXUOgT9sgjjxGWlQHQ1JLyVQ8g3cCghYWCCkHGgHdV51+s9wtHio0gHreLy2R0 + kwgRN+aaOVUGFoMJ1FEcxJsXk/zVf/xra6aQ5KmnnyLf8DWQwiH2XY4W+M82f758CeUJKCId8y2d + 8u3Jpx6xf7zzH/xYeJrn5etxBsd3jKSPrd66bcOIeglLcZWMBoggbYvnv9VmtKxelSxr/UVFWXNF + bW3SOnsOAbIEvGmtLTZr5nS8fSVzVM8fsMsuuxTkbb0L9eTsKRQU0tfb18PfITzWW+ZDEqhuIdUJ + KofApqjmgnPoBoYGnDkwXy1nGh4dQY0rkeSDKzxBAup+e/aZZ6ksHnQg0xe/+EWaP+owKfIxtB/6 + DENxNFG3fetb31SY6gX8Wj9ZNtOLR6vqAl7sYkLPH3T3HB5XXqPUVkkwgCp+Vi293ma1rmypqpjx + UCLaXBMOVFoq3Y+cD3ipsQGIG7FLL7vYEV9JH+4O6uL9csK5ffv3unBQRZ/y7KtrKu3iiy/Esftb + u+qqKwFyog7TFzcowaMJMsorqI1bjDGWygId307h6fux6Rc6nOHJJ59A4lVzQLMn4aPawQU4LVmy + GOdwCudTzt+4xxAhYCEX9O5ft94eJfoo5AMWDTZ51RVzYax6SpRjLbFY5SWJRMUPunr2j5daWFgS + DDB39nKbM/3cYCTYuC6TSsz3CtVccKp3AykbTrUhmcPk/A/yPAow06A8AWZAMXcBSLaZurwWe+zx + R5zUx2K6b1DKPklIp5SuthHDKEMoUEb1AhNzAEQMvadLEHPyKXtu2xbr7e+CwJVolnVufyEXShLX + 48zNmTODSKDMZsyYhUNJRlEMlcl6X/3KP9nPfnY/SaBBtEHCymKtVhadDnrBbetoJwyHyqYm4pUX + FPzMt3r7j5SUGnjDw0ClZJvrF5mfS3zGK1SujoUZv+5X6j2SR6rW0ywe1e4H/a2q6VMAACAASURB + VJ/97Gf24T/7sH3nO99F4ouFn4KJ1bWjHlHfpxw8PYxdVyXxsIvl1SEk9C+NWlfuPxqNOxOgyCAa + c23ozqdQuDilqdGqapj5HNAAKOUjhO5hQ3AeY4R5BdR985QGwKgD7i5kHR1d9g+EnOsf3EB0osgj + 6TQAYCEMzC3sRkNf83NVO8NeE52iM89pbV7x6dqaF2ZNlYQ1eMPDwOrKJi5W9YpwsOrTASvnAqqz + Vz0B2HAespu6/89HPvZJr6a2wv79v/8zpPMhW7r0THdnsG3bnrNNz26x0aEMahnv3qMGcCxrn/j4 + p4jN6e2nLFOOXRl/q+UuoMrOJSvKcRAzrphEVKDPFw3wYUzOKJhBOSnjQatKVlthnGKSLB1HhYQV + 0nnb+dxBWxv/hVXWVlsG5PFXTzzBzo16QrCGihpg4jSRA35IvHiDqki4qdsK8cW+HzyH2xD/SdAr + D8+Ytggf5VhJEF8n8YabgIVzzvdqK+f9Wyzc1OIVkk7q6fkkfKMx0+uynsHnLZoo0HHLTSKQ9Kuu + utqVhD3+xOOuI2jtveuAZZ9DU9DLH6IHUPd28MNk+2op8ih270rLKPkzUWGs0KcJaaevEDAHjcHM + ADmMunVsHhueLGuwzCgZPq/WkrFmS8anWC6tTp+wtR89Zrv3Pm+H2/bDXONolSFMRp19+StfoR7g + Clcp/NQTO9AY1COEqy/w89EbA4HwLvCFL2TzA/dn84PWdmwXWqg0LMEbqgFi0QqrLJ/+pyGv6syA + j5TllEWhR4/hG77HEMbcCBe01S5563KkWKVWmvahlnHNAwjZF+/8b9ZQ22TpEbD9eL2Nkz5Sujjn + j9kYYJCkN0SYJrhW2L7Sx6oe/hRIXmtrEwQPm+oKPkNlkaKLaLQS7UHd4HjcmmrnWjhfYZFCmcUi + ZVZWTcZwvNv6U4cwEUhwaBgz0G8NjTX22b/9T+AOHWgtFafQWRzGqwjj79mYly8EFtKG8j/BCb8U + j9bdncjW/2NNZeuWnv79JaEF3lAN0NK4uLqpbum/xqNTYkEv6eezIYeihSPc2cPvt5x12JmrZ9iC + RdO5WDRspNLOY1+8ZJHLucchjOUp8Ii32NhQ3KY2nmaViVYLB5FoLn++QB4/LBxAIaHZgoULKCp5 + BzMHmwnrel1EUUuL2ew5s2w5QFNnB/cYGCPVm660lrqlFhxvYBxIvQWyOKVeDftN4hPQDhYg/k+o + 7Ctvq1cvpzK5ldcqNRPiGKVsbDuxJ13FwQQ5AgZcZzkDblMUDBWWwdwfIFM4p2/g6L/m8hmd1hu6 + 3jAGIMljc2eu+XF15cwl8UiDhQKV3LMHSVWcjvef93stWj5iTVPj1tiEZOLZS+ojXGAxg0CbjrZO + pjQQj+drrL5qkZVHZ1p6uIwrXe6qf4JhpoHasKWJHhTuyXy0tExzzqEIr/BubDRji2CoZFUSKe63 + /XvbcNimWT5V4dS/P06HcY5j5un4MaQbxHF0jK6hwggZyyq76JLzHQglLID50U6DHNjfgSmKgQPU + ESXgxAZCDLQIkjIWH4Y8tNHpwhv6Bo48pMzhG7nesCigecrcmyorGy4Ph8tQ0eh8C/hgO9h5avxz + A+AyQ9Y8tYpbuCWw5ThseO0xqVe88m3bttms6XMsFmIIdDZmDdWzrDI21YY6Q1aTWGBRm2aFTI1l + xySVWZu/YK7ddvstzstX0kZoYSqlGoMojMEQyd5BPsvbNVfTY0gkQTEKuYWpRA7jOJX0FAAShQJ0 + /PrU/nv1FsOr99j/YG/etm5+nu8wpp7jlJdVYQLKrV7Tygv0L2RlxrIG/TFFMm9x8/J1Vl2xwFqb + z/z0/FnnL2cO9RtJ/zcmG1hR1tA8e8aa71ZWzObC1qIiI35aCZso0hAasLHsEQtGB6yppRyi1RL/ + pyFIEw7XoJP89sNdtvZfH7WxgXJrqlqOnW7GBISsOtmI7acAA0cwGqzAL4AgqOw5s+fQ3Tsf/KA4 + 3lXdPtx3CJvPWDd8gkEcOWkXaaU4YWIkGGeukMrEpaGFDipSwPHwIWKejmPuUB/3ppiXTdqxtn5l + /vAvKDb1qEkMjNjSFbNseKwNX6KbiED0ZY7QMGYtG2DgVRxTUi2sINTceMY9M6eu/LVxt39obviD + mwAcv/Dq5TdvbahZVB2PTOOCMk3TD3sFP0vsN2zjhXaLlg3ZyrNn2dz5UwgD04RzmtohCfLtqSef + tkP7uq2rLWe1icVWFpxtwUItRCOCwISotj8YAvsnihj3u2wIp2312Wc6LdDXN0hpWcNxNJH5AiB5 + 0gjQ3kUUEbTRtq37rLeDCZSJmUg8zSgYb3UB8QKToSeNqKHr2M9aOjdsvcMdLm1cTYgajtJWzrGF + WjY1N9nBfcec35Ab557GkUpxk4fd5/iaRhIVQ1bRTbR8PJf67tBI1xviD3BV/3ArEk54K5a+6+c1 + FQtbg9bo53NJJCvBhSNjFxlBavZbONZnt9x6ObV8Tahn4e14/TlsazprO7bvtJ3b99jh/T0OPBKR + wlaHWiX1XiCTBzIXDKhDRwUZSCxLqKFKwFXHp1BPtFTJlnAAZQr5CnxFMocIQX8XswlYUsThomSk + Xh3HbOIYgBQ1JoE7ihFyxsPVMF+5PfzgEySDVCqmaST97Jek1owWm7+oxXoGdpsXYtLZ6FEvkdDt + acEJQDkJNvEGav2qyhmXzp5+zj/U18xy5/uH/ucPxgCxaMLOWfWu/zWl7oyL45GpSFU95Xygclzc + kVS3ZQsdfk1Dzt717ssgwxBS5pHu7XJVNyr4SAPuhANltmvHIZs2ZZExBQigpszy40LzZKdFSGXy + pHBhAHX9kvxx5eA4gK5+n6MpPw8YDEORGIL6Ir5jACp45YNUJMuOp47FALo8up9g8TKpHay4NIlM + kG8NzFeO2TH71eObqTwawawwhZRoQEWry5bPs9nzKq1/eBcM3ktoO0KyaIBj61cT9sK44WC9NdYt + +NhpCy9/X2XFHx4l/IMwQDRSbmetuPnzDTWL3yPOR+H6wUDcz+Sw10Hm8IRp3w53e7e/9you9gAE + 0L1+cyR1kpSFVdKrT8w9lLW7vvdzqymfg3c+07IpYvMoU9mhiTJ/UMLZaccEiLnAHtf8CSOoNlCl + 5fIBsjlwWhggAMdI+sEaXT2hsotikuapTY540gJiJXmmYhBxGLUJ7rv8w/cZJBmpt7rkHIKSpG16 + 5nnLpLRfhlTAAMOgiY1Tyu3qt622ZvRdIXgMc3SYaANYO6h6RXbix1SO6oeDjX515fyvLllw5WXl + Zb9+JzQd6/VcrzsDxImDl59247+rLj/j0yHqO4PE6NzPwzLZMS8az3FRDlow1m/v/8D1lGIdxFtm + GEQ25aSQNLAdPrJPNLUf3f1zi4earLH6NGDZakK+JhsaIDR0DhoZPg9CwzQTuQERV8CMmj2UIi42 + maJ6RUgeQQgsUwB1XQSgcnERvbmFc2Sf+luxtw97LwqIYbhnBTeY1Ru+RwXwOOgjmqiuYpaNj4bR + ApuQaGw7iGIIM5BK96L+h+z9H7we6JiK4nw7J0XLGWggh+M4tJFbuVfIVXqRYFOosW7R3UsWXn66 + tMgfar2uDBCNVNjZy2+9smXK8q/g8FEtWQ23JxGjgpfJ9thoZr+VVfXZO28+D+Cn1xLlQutwzED7 + fJys4WHSwbmct/beB/3MaNzqKhdhvLmfr4/dz1G04VxsIgewfDxAR0yn0lELiBYOmZw3DwaIQ1CN + hudziKxw3GEK5Ar0LAdTKekC+yivAA4uULxxPA/hYlOZABejancCltAKBUJXqXCvmolWDdZYM8/f + 8uxO2/08Nh8TlEio6GSUbRky0d9uf/rh2/1I2YgNjO6yUIwi1VyP21cefMF8KpWtBt+guaKueuF9 + SxZe2hyOqBrp9V+vGwPEIkk7a+m7z0kmFtxdFm31oqE6L59VClee8DBI2og1tOTtsqsW4791IWXD + Tj3Ld1M1ji608vVPPvGU9XRSvYuzF+OmHaFCI5LH0Ahy9SKl7Ll6A7SKxNenfOZorykfmv/D0EjK + zJQGDjqVjvSRYVS5d4jPpbbl6avQRE5iNgceDFOJUeAEZwZeZAD5DEopy+9A0n1wjHzSyiItMEOl + rb1vnR06sF/MizICiELDUCGCJsjYe/7oGn/2/ISNwPheaAAcShVLcjJjzh/IE1bGI60tU5uWrzt9 + yVuTAote7/W6MEAEiPac5e85o6l+6VqIFi9kSY2m8tzIqYACHbCs32llyZR/7vkLrKYOHzugSh0I + x/UuK6MQBMi3ra3dDh1st+e3HciPDDGwIdFEcrAcwkMYiFpOVy9OPOpb4RkyDBHV4CHLLbue97mt + G2pY0h+PqfmD+T0OcBLxoTKMoJFziu2lAdQbKIINj4BDkFLOkg4W4ZyjQM6Mam9e62v6R+fKwfUg + eRQPEdeHmzwljlKDedu88Tl//949nlrXxUDqYBpLD1kgnPIuv3qlhRODaICjnh8YQEsBNpE7UL6C + umM/Emwmwpi+pLZy4X0LF1wYYxzZ68oDrzkDRCH+mpW3zW2oXfzLkFef9Hx68Ly4FwxT1493P5Zr + I5067l/0ltOtPCnnLe087/F0jpRt0h8dSXMb1kFHuPUPPropNer/VTgIVuKX0R0S8hNEBAr1DMQu + j4MXwuaqiFTeuhiAgyG3RAFG2xhvo4SBSguLelL3xWKQIgOIIcR0IpLKxOVsDFFJLA0gRDJIJCKi + ywfQg+mQ7JMPRHv+k5+hRlCKQMke0jOQILUdqABOPuRpssgxxtLs2LHTnRedTl7/QDvGr99uvOUS + ZwYGR/c5rCLnwwhBaZ84bFnDL2kEjp61Zua0VT+dN+essBzU12u9pnsOhxOUdt3W2lC9bH0o0FgX + oKwrGiMxg6obGTtkfuiYzZ4ft0svX+qVVaifbhSAJG5dx/oAYipByvIUVgxBsAAt4D/cOjQ4cNHz + O5+7Sxi8UrGKx2UaRDU3iBmIltILJBfCShrd3zkcXrbvZb1UesQ1hPYO9JIPAIDhP+Ua5O1HpF5F + QOy+agaJEnxNIlOJ+Chx3RipxQzn55PwAaU+fv11fD30tviZGEgRgZI/Ho5hW9sx6gky9vzz+0gu + 9RP3J2BUgxk6iWqqQB270X4Mt7jtUouV99pIejtn1QFsTCzJueTHOctc3A8WGvAtZlw2b8ZbfjJ/ + zjkuuD1+Eq/p02vGAMqSnbvqPVOm1K1YHw40t5ifJByipYJyrnyg3yJl1OhXDduylS3o4D5+9AjA + SAyINGszp8+31EjW+sHkK6novX/d2h2Hjxy48OlnfjU4MjrUqYuMzebfgod0+q4pFLXsU92r3D8F + FxARyZeE8ot8L4frleYYTBijUWOMHK+MTxpImX5AX9IuKio0VJqZ6mBfNYJx3R+A+kFJfiCYRx+M + a19Oo0jikXXt3BFA/T8qVsHb4H3Qj4YT/sDAECVjSdu45dkb+3qH08/v2GdHDh8Dwh7jtvbcAJsh + FGgCTJwc3iyYx8UWr+jEHBxk3/1+OII5YLBYRaLKK4uBCZCNrIguvHLB7EvvXrTgbHH7a75eEwYI + BWO26sx31TXWnfZQNFI1M18gXuK6KJrxIkOWyh62+mazcy/AiwcrT1YyDRznV2CMmjIPHWjzxzM5 + DWXwvvFP/7R727atF2zZvLVf8TtJmlHs4BjpVgViXCAZYV5BaT2Qdf5jiQ7uIRcw6+f9NJqAHGyM + 5A/sEIIRhPwRFtLDR1ZRNf9iKaRfN5QcBGtQ46nmEaTQANnCmBcgleyhAYCPoLs7Cgc5fsnQRGI2 + hY44euT9aVujWSQc9dozudG7ntn4qxl0Bw/s2bPHnt+5A1yKpBDbqvUsiIYKk6lsaIzbdW+/yOqn + wK65XTaESYgnPDejMDU8DiM0Y+lqrTyy4G2nzbvuu3Nnna1f+pqu35sBgtjRNSv/qKqpdtV6wrz5 + jPDnUuU938vwL+1TmYM2dQbbnD8fhy9CXT3TvsjqyUGKY5/VYs2gBS9Djd9Xv/q1fXTunP/ss5t6 + 5Nxp6aLRdbtP1T1qABURtUQOEYDYH27gnT7WAz8QqYU8GSRfRRsQdnjAOWJ5VKzuDygnUAMl1FOo + KWHkA7yK8qRXTVu6OpGiME0mS3VJAHwBX0A+hY7ouNpxGccWO3I8OYXaTx5Hz+EHwfxT2pbuoc5N + m381q6e36+jzO3Z4hw4fclNKFKkkKF8fHOxlfG0P7WmVdgWOYbSsEx3VRrnYPrqOYC5+wTiIYciq + LD9W54cLc25auvDqL8ycvphzee2WLtmrXoqtV5x+a6Kpfvn98WjTkgAlXYVcmKocwj3Cuq7enVbb + yCzdS89E8jS+hZCKaymbSF5M0u2rjo5OWv/73/+Xg9j/85965unOCeLrxHTZGd1KcF309OV5q7u3 + WGNPf0CQAkKktBjfsz3bIY9eNj/qD430fV8IITRC3au8W80i1BrkGQpBjYDqCgT4qIlUS/cWTtEr + OJoaQjNgJoQvuIfUvXbNjrQzPbtV1A5K9AfxOPXbOJlNx//IoInB/h07Ni7q6m7f99BDD1KPOOjn + qDPs701ZdSWJLjCADLWG45ioO/7onVZeBdNFDsJux8hSKsU8DMNSIxGIwQ9JRQd/fsbCt1/R1LBg + 4hC/9/OrZgCp39MXXhFunXL2zyxXuTLkVfDjNckbR4o4P5PrsOmzyu2qa85CpXY6SNc5cdhrXDBg + WbpsGcbUT2XOD37w3TYk5fxHH3+4XXn5E5c8cyZ/0W+FxEEoSaEYQM6b7LMjEKCNpL8gTQBt8n6G + 4w8/0tW7/70MgRpRSzf1+0g9JgMmEBRLvh4Hnm5euNF58hBValomYaC/8+MqIsnmSO8eZwAdQEyg + AzhG4CR1Dsb+pA00biZLtxHVx5QDvbgGBnqHaChddqzj6PYHfrne6zw2YMnyBjqMQTuJGCKkrRsb + SGcTJr7r9kutvmXUBkae5/z6LBKHYbPA5BgYoHPwD8LN0Pw/Xr3sVquvnfXiQX6PV6+SATw7Y/Fb + bMGst3w3mZh6kap588z2zBeY1l2RcbYsEOqxyy5fDtFGQdfCSBbePR64xroePnLExfo0cngPPfRA + e/9A7/mbtzx9RE7ZS5cuMtK9m/Qu1z+DygakgeBF9S9DoCU1LG0B9fHYC7RsZbKDG3p6j+Dvpb6t + SeHoDcvR+YMXAZGRrnQOunHX7xDQNLH8OJII7SkO6S0MDHXdiQZ4Bi9MziBMgOLG+XMIIIfUa9hQ + R2OJYRVFKCR1ncd73ccn/NN2pG2EiSWrmUm85ZFHHqezeAhBybgMpBg+Sx15RQWFA4G0XXLZCltx + 9lQbze5CNXWRHSWxFKYITVEM4FM4WDe7IjbbVp52B4yEY/V7rlfFAEsWXGyzWi75r2Gv+R3ZjPBs + eeK5QCSatr7BXVZRNWa33XG5xRIMeqTII0phpnOCkDJN6aqpqnYTOJ944onO9mNtFzz80IaDGgrx + f1u5fGoHEgvZlcI9nvGDAxQdFAlDz5+iAHbgQzAVhY6Mdd+bxbykRof/ez9Tw8bHNDIWc4BVFW4g + JigojCSKyFOKkM8ymRQAat+evUNjmIDMeP9ndLxsLg3x2dgBAGhiDurYjuNLE+iogn7zJJmUARxL + pw78pt9x8MDB0QMH9q/p6OzcsmnTRlc8CuHRQLoJFogh3CcforomYactm2qts9lX7hDOKLWLmNMQ + CTIBV1QZL8llqt9WHp0fWb30Nvwo+hh+j/WKGaC1heKKGW99L0DFxynkBJzBUSVm9oJjqK0BQqgx + u+mWK7nIgCk4gtzex2HiFRUJpmx1AI/mXW0+gxd79uzZe+ETjz2zV+Vev22lx4f2oGsxv4yJwefL + EStLJcsUcBD3UGwvr1/waiY7fHR0rBdnTHZ9eAcqfrMydKoYVmShjp5iLYBsOF453n6MWn6ZCPYz + JKeub/DQulwuPcwxQGsVgRFvUAzqU/XjBTlfkk/iQNUHaElTYF56iCCG3Ae/4Z/9+/ePdnZ2nPvc + c89t2bFjm/AObyw9KrcF7Rjy1X5WbEErs6VnzqccPmODowc5roCiDD4FIXWOnqhA+U+iocrB6uT0 + by87/Zoywdqvdr0iBqipmmGrznjXUjpdvpIjF59Jo5UD416inALo8U7kYMBuvuVqPH2cG+L/SNQD + Ex/gOeRy+0zw8vYySu2xxx7twzO+iKbLnZrN/7tWLj/SS5HHoNrBUNu85LRxBh3yd1wRyz8w0DTK + sgrp8cFPDw53O0HVbWOZDfR9zQcq04BJIgCpXXcLWpzBoCQLHCeMllIAiW8z7BDBkU50e/4BF1YI + UsTOAy6xf+44qugA51LwsEAoaQIqfvF90veNYst/29q+Y+vI0aNHztvwyMOb244e5nuICaGhBl04 + 04QfISd4WmuzXX/DpZjUNO1xhy2V6aL4NKHz43D4G1k/FgpU39bScObaJfMvf9VA0ctmAOH7yxbf + EI6Fmv8lGmqM+WTCUFlMdaaYw2+3ypqMXXPdOVZbHyaU0UgVqdmc1VRX4xhJG5jt2bMbfP/gwN59 + ey957PEN217uGLUxmkPHUiO7ZHMpDkEiubtHTjZbKAC84BSxyIeP4A//xXh++Ft9fd2ODortYYIf + O/AHq687kgkaVpWRvqvUsMbLKsykBZQU81ivQrXe/g75Gw9oHKxy9x4tan5Bpk6/S9CzkD/sNgkh + OSR+IHuY7T+riuHftehBHO7qPHbBIxvWb/nFL9bSU9inSMTTOag5RQonHKEjqj5i115/HsUk5E8K + nTwwZVkqkikr4zcwhyBJkeq082a0nPdXs2es+l2H/Y1/f9kMsGrZtVZVMf1D2XR8YQCPX2rHC9IK + RS4/Z0ftunessbrGoHV07QPoUSwdIsYfdnE+DqK7ZcumjZuGN23adOkzzzy1+Xep/RPPdnhUxBzf + Q78/cK1uzQrhYAJOwL0uMgGonJfBWo/khlJdOFnF6VwaM9/WdnQ3Uz/7Gd3qKdRTl5CkjQADqUZ6 + 2VcZY12qknXY8bIOWXZpiZ7+g+s1X0ALqWP+TwjekBkRLK38gvoMyeT5/l/m/dT01PjAXsHHL2dt + fW7b0Lbtz13A0IutChEzhIKSEkVG8gvQoP5Yuseva4zabe+52g9FFVkds3i5kkf4VpEQdQRxghTK + 3UItn1i66G21r6aO4GUxQHVlizU3LLXyeNOfKHU5TsHGyNhRP509Cpf6QJpXOO5UAUR1DZM3AQLB + 8R03K6XZS739ffetG929e89bGbbwzMuV/IkLOTTMnL8yb6PMjcvVy/4jkarNI0cA/WXTpcLTb89k + ++/s7j408VUI5NvBgwflfwyrQEQco9l9yv5J7aZGVTYmFoJ5afYEmDp63M2zo127dvqB0UwAv8bE + 7JE8GhjLAEiD+PGQ1uHLwcz/WwgOvbtHrsorWDt27B7s6uq9oL2947n77lsL8Xuc4PT19/Bb8kwf + 87zRsSG/ujbmvePmt5BCHwRVPUAGcQh/QI0tSm5TVJtPloe86j9vmfLKQaKXxQAzp60gBVuBlS2b + 77zVMEmcsiGvscWztxDqBSNU5sCVwZCGPONkAZYMD+sGD8Sx2LQNGx4dGx0du3Lz5q1P/DZv//92 + 7YZTfXB//4PjeVKqx4+hiFGevwAlkY8rgULIfhUVWT0w2P5ru9I42T17dj2cZlKoZgXIHAkRVC5e + voQ0gIpF8NJVkLJ14svdvYfytIM9lsO3USo3GMb2gwwKeOK+JM4n8AM5cMcRsnq98zr6dk989WU/ + A3kPkEA6/+jR9mcfe/xRziMLZlLN/KL9zuuXw5rODJE7KNi1N6yxwdRujtWBQIAoAj3r/H1K1a2Q + uKOxdv7LPu7Ehi+LAcrBpM2PtuDpBqSCegd32Ix5YbvwLafhBBIBEL+qfDue0Mi2ccavd9F+NZUL + G7Z/+9d704cOHb2aUS4bNJjx1Sz5EnsPPbk5Z71bQzFu/KTjYe05KalfQjPsNJcFNTxlLN17Zeol + drinp5tRMhvezWCpa3SjR4VdzoJIiskTKPxS+nfb9s2c3riLHnSeGlAxmDpy1xjVSyrokO0NYPok + 94KJfaKBACVs434fcXv7vV0DR17NzzMGYQ8wh/iiffv3PHb3PT9gWNWAzz2O/BHMVZL8SAb0Uswf + L8vSJX2rDQzvw+FWRVUb14KZBFE37qahLFG78pWewMtigHiUNiq/cNt4rh+Uao+dsbLRFi+t8cuS + uiBZ4FyyXQAZQzRuSL1rNn9fb7+tX//weGdX7/XY/Qfldf8+60jHc/QMdP9Nlh9ewFGnzoNkDYoS + hhPyR96RnJHCs3Rf0Uy8eDQBTJrz//TTT+3QQGnBqxoTL1srXyEPYUdGB2z7jk17UumhHS9+06y9 + e+t3xsZ7htX0Mc520hqCoR1CGOB9hNvM5HueOnhs0xOvdiKYtCph8TA3uLyso7P9vocfXu/Jd8Eh + 9FMMtRC+oFkF8rkUar/z5ss4lw7CxEEKaqmc9nv4DaNMLA1fe+K5v5zXL4sBQKO+E44Pf3680GZL + ltbZshXTbNacBm9wuMs1dGhqdn/fMOqVbt+KWlqou/2HHno029XZ/Y4NDz/085GR339ufv/QMdt/ + dNNPU7nOvxv3em1g7KhlCv3UGIx9xAunO/KenKThp/sGO++XZnjp2rdvv218dtMxbiTdoYHSigqK + JVfMBiQj+fjjjykv8SmVoZ242o5tH6Fg41NjGdK1+H1ZmMaU/6esG9Dbz/i9mVS25yN7j2w78Wuv + +LWczqef2pgC57j2WHvnN+699z4P9M9LM7NAYbQSSBo0JS00Z16z3XTrpVQW9dpwZiddVD1kP/q9 + eCJ07is9sBCO37laW+d8jXEtZZdfdY7NnFNtrTNq7MChXdiqGhcNKHzp70e1kpcZoS17754D+Y3P + brwFm/aTQYYwvVarf7gdFLHxl9WVdY9yA+np1IjsJJH6kUJw5Ov0Em4YcVxAxAAAAaxJREFUHjvy + ma3P35/NMB3spUtDIsgm5pgm+uPlK1ZmGObY2983NAS41NbV3b3hxz/+0Ue6uzvX7dm9H2kqev7a + hyaNVVU1PBsJVW5PJCqr0+ks8E04DgbQV/AGH8la+588u/Oex/uHjr70kK/4vaIbppb6zc0t/1ZX + V9+z/8D+t0ydOjWoJhe8zzspXM1S4jZdMxLlbM9fON2mz2xgsvnjDswCJa3Zsevx//JKDqzQ+neu + q6+66eIzz1x+e2Vl2ZTVZy0P9fZ25GigYKoKQOvQyEhPT99wJBwdYm4Or3tHdu/ateOB9fc/OXHL + td95gFewAReCNvDFNr1xmTFJTD4AINSA9Y8ctANtT6PK+37r3qZNa2Hi+Jn24Q9/FOQvgpM6br/4 + xTp7/MlHbe/ePQBWVOu+ZKmmcMGcC2joXOoKP9XnILCou3+nPb//QQY+/JrVeMm3X93bNeeu0Uj7 + 01l/t2jx4jqQwhUwH3dPbWlkqMW5TEQ7IxZLzE2ncq3Z8WDTT368dgrzjONPPvOTl0XTV3dWb37r + zSvw5hV48wq8eQXevAJvXoHJcgX+N12+8mbOwoz0AAAAAElFTkSuQmCC - + - -0.130569 -5.600904 -0.232995 - 0.382491 -9.700469 -0.685536 - 0.382491 -5.600905 -0.232995 - 0.382491 -4.781630 -0.685536 - -0.130569 -5.600904 -0.232995 - 0.382491 -5.600905 -0.232995 - 0.382491 -9.700469 -0.685536 - 0.895551 -5.600905 -0.232995 - 0.382491 -5.600905 -0.232995 - 0.382491 -5.600905 -0.232995 - 0.895551 -5.600905 -0.232995 - 0.382491 -4.781630 -0.685536 - 0.895551 -5.600905 -0.232995 - 0.382491 -9.700469 -0.685536 - 0.895551 -5.600905 -0.685536 - 0.382491 -4.781630 -0.685536 - 0.895551 -5.600905 -0.232995 - 0.895551 -5.600905 -0.685536 - 0.895551 -5.600905 -0.685536 - 0.382491 -9.700469 -0.685536 - 0.895551 -5.600905 -1.138077 - 0.382491 -4.781630 -0.685536 - 0.895551 -5.600905 -0.685536 - 0.895551 -5.600905 -1.138077 - -0.130569 -5.600904 -0.232995 - 0.382491 -4.781630 -0.685536 - -0.130569 -5.600904 -0.685536 - 0.382491 -9.700469 -0.685536 - -0.130569 -5.600904 -0.232995 - -0.130569 -5.600904 -0.685536 - -0.130569 -5.600904 -0.685536 - 0.382491 -4.781630 -0.685536 - -0.130569 -5.600904 -1.138077 - 0.382491 -9.700469 -0.685536 - -0.130569 -5.600904 -0.685536 - -0.130569 -5.600904 -1.138077 - 0.382491 -9.700469 -0.685536 - -0.130569 -5.600904 -1.138077 - 0.382491 -5.600905 -1.138077 - 0.382491 -9.700469 -0.685536 - 0.382491 -5.600905 -1.138077 - 0.895551 -5.600905 -1.138077 - 0.382491 -5.600905 -1.138077 - -0.130569 -5.600904 -1.138077 - 0.382491 -4.781630 -0.685536 - 0.895551 -5.600905 -1.138077 - 0.382491 -5.600905 -1.138077 - 0.382491 -4.781630 -0.685536 - - - -0.000000 -0.109721 0.993962 - -0.000000 -0.109721 0.993962 - -0.000000 -0.109721 0.993962 - 0.000000 0.483509 0.875339 - 0.000000 0.483509 0.875339 - 0.000000 0.483509 0.875339 - -0.000000 -0.109721 0.993962 - -0.000000 -0.109721 0.993962 - -0.000000 -0.109721 0.993962 - 0.000000 0.483509 0.875339 - 0.000001 0.483509 0.875339 - 0.000000 0.483509 0.875339 - 0.992260 -0.124181 0.000000 - 0.992260 -0.124181 0.000000 - 0.992260 -0.124181 0.000000 - 0.847527 0.530752 -0.000000 - 0.847527 0.530752 -0.000000 - 0.847527 0.530752 -0.000000 - 0.992260 -0.124181 0.000000 - 0.992260 -0.124181 0.000000 - 0.992260 -0.124181 -0.000000 - 0.847527 0.530752 -0.000000 - 0.847527 0.530752 -0.000000 - 0.847527 0.530752 0.000000 - -0.847527 0.530753 0.000000 - -0.847527 0.530753 0.000000 - -0.847527 0.530753 0.000000 - -0.992260 -0.124181 -0.000000 - -0.992260 -0.124181 -0.000000 - -0.992260 -0.124181 -0.000000 - -0.847527 0.530753 0.000000 - -0.847527 0.530753 0.000000 - -0.847527 0.530753 0.000000 - -0.992260 -0.124181 -0.000000 - -0.992260 -0.124181 -0.000000 - -0.992260 -0.124181 0.000000 - -0.000000 -0.109721 -0.993962 - -0.000000 -0.109721 -0.993962 - -0.000000 -0.109721 -0.993962 - -0.000000 -0.109721 -0.993962 - -0.000000 -0.109721 -0.993962 - -0.000000 -0.109721 -0.993962 - 0.000000 0.483509 -0.875339 - 0.000000 0.483509 -0.875339 - 0.000000 0.483509 -0.875339 - 0.000000 0.483509 -0.875339 - 0.000000 0.483509 -0.875339 - 0.000000 0.483509 -0.875339 - - - 0.382491 -7.347968 -0.600903 - - - 0.650546 0.304253 - 0.434256 0.965477 - 0.489793 0.301177 - 0.495796 0.173541 - 0.650546 0.304253 - 0.489793 0.301177 - 0.434256 0.965477 - 0.329039 0.298102 - 0.489793 0.301177 - 0.489793 0.301177 - 0.329039 0.298102 - 0.495796 0.173541 - 0.329039 0.298102 - 0.434256 0.965477 - 0.324793 0.302369 - 0.495796 0.173541 - 0.329039 0.298102 - 0.324793 0.302369 - 0.324793 0.302369 - 0.434256 0.965477 - 0.320547 0.306636 - 0.495796 0.173541 - 0.324793 0.302369 - 0.320547 0.306636 - 0.650546 0.304253 - 0.495796 0.173541 - 0.646300 0.308520 - 0.434256 0.965477 - 0.650546 0.304253 - 0.646300 0.308520 - 0.646300 0.308520 - 0.495796 0.173541 - 0.642053 0.312786 - 0.434256 0.965477 - 0.646300 0.308520 - 0.642053 0.312786 - 0.434256 0.965477 - 0.642053 0.312786 - 0.481300 0.309711 - 0.434256 0.965477 - 0.481300 0.309711 - 0.320547 0.306636 - 0.481300 0.309711 - 0.642053 0.312786 - 0.495796 0.173541 - 0.320547 0.306636 - 0.481300 0.309711 - 0.495796 0.173541 - - - iVBORw0KGgoAAAANSUhEUgAAAEAAAABACAIAAAAlC+aJAAAACXBIWXMAAAsTAAALEwEAmpwYAAAR - K0lEQVRoBe2a2Y8c13XG76291+mejUNyyOEMt5COHEqiYjmRJRiwYSCJgwB5y1/hvyx5z/IUI5Ei - y0sS0xQ5EimSs09P713bvXXzu9UiIdjATM8iIA++aDSru3qqzvJ93znnFoX44zomAo7j8jrmB+c/ - Jc9/CUwMwopS2pFeo9n68KMP19bWlpYubW0dPvn88cH+3tbWyyjylCrSTI2Gh0rFxhTnv+/0Cud3 - wJMycN25RvPWysrlv/rpD3/2s79v1JuODPb3083NzRcvdn/xyeaXzw5cL/K96H9/+2h/79/SdE/r - wYX4cJb8SukIgeee615x3Qdh+Ha7/dEHP/j+uw/f/vGP37t9e6XQcjzWvZ5cv7F4c+PKjY2Nzadu - HDeStL66+sPJOCmKKE1fCXEBeTiLA64bOM6K590Jw7+4uvrnf3LvwU//9v0PP3z3zp2bC4uLjaY3 - GoteTwyHoj1vmi33ytVqq+3W6z7r1q1r7YWbS0t3d7d/rdTYGHXOPJzBgcDz7vv+B/X6j9bXb//1 - 3zz84C/vfef+rfG45Xm1tXVfOjKJZRoL1xWttqiEMopEux16vpBSVCvVm7eW1m4sdg7kaLwDH4oi - PY8Pp3XAl3K5UvmH+fm31tZWfvKTtbv3rlfrrb09v16Xcy25tiFUKiZjEU+E54lGQ7hwRIogchv1 - aHm50TlUjabfbISr1+93Okd5VsQxfNBn9uHUDrju4uLiw3v3rj587+o7D9f73VrnwOv33Tt3xOKi - bLdEnookFkkqKhVRqQqt5eGhcKX0A69W97PE6w9lmjmrq5XJmKRU9/c3s2xwZl06hQNSho6zUKl8 - //bttx6+d+vtdzaG/TCO/SB0r113/uyBBDBZIuJUjIZiMpFzbel7MsvE/r7QxrLeRWh9t3dkssyE - oalV58Iw+PKLzeHw1ZnJcAoHsD4I1paX/+4HH33v7r0rS8u1nW1tpNued957TwaRyDPR7QloOZ7Y - JDTnRBSCe6OU5XS/J4+OhCMJP5jim6JS84Q0W9u9XvdZUWTGaGPMabGEIM64fM+7WavdXV+/8dZb - K5Uo2N2JFxaN52KMDCsWM7kW1aoQjiWryzsnpHAc4Xsi9EWSiP098avfoE5SG+eoUwgj6rXGtWsP - m80bvt+SErqcIqBTu73ZzIeIQaVyf2Hh7v3v3K5WfaJV6NytyCA0vm+trNasxSg7RRa7M2WBFHCK - 40xovjfwQbx8IeYXHRQpV3oycbLMr1Xnq7XlcLiXJH3SVSaBPMyaihkdIPPNlcsPVq9tbNyccxyF - mWEotSqwPoxsvMdDW9yIdOdIdA5Fr2+txxPCj/UsPAxC0dqxv9SFSbPE6QaUvHpjLghWHeeF5YiD - ylkfimLW+jCLA6QV7b/ebq3XqyvDQTw3V9RqbhT5B4dusymrkUgTkaY2aFkK1sV4JFQmalX7EWLQ - zqGnjaYVJbz69BPR7Rrh5t2OQx/VarUadWril677pCgKY0A1HpPOmZJwMgcAj+PUg+BWFFU9z0mS - ZDIZCaFrtZCVxA7mAhJQBHh6XaFya/FUQ7McObLoJxWUBfIzvyAcT6jCFoo4cdLU0bnfbK1W60vS - iQg9YKVVKbuVmdB9sgNCRI7TrNc3anVRraswUkkaJHGQZdzGjRMxiYXSotDWjf5AFAQO7rqiVrPQ - KgrrwDQVcABdsrQvZJ5GNHy6cDud3JEroX8jDG7A4zL2OGwJNcs60QHpOA3XnY+iy4GPrhvP83Te - 9LxKo+k0G0WzYYON9Zje79saDOg914bc9QRNhOdLakKWS9xAXv2AKMAH6fsVQAjqj7oAh372chDc - IVioWGn9TPjBwxMcoIBSv6SMdFHpdlX3qBgNK0p7YSSbTYuKSyti9ZpYWRGjkegeAW4BrREczKUU - IK/Uh/HY8pjM4Cc8XpgXS0sYSWsnjTDdHg5A2ZoQK1I2hPCxqtSiWRJwkgNlcUmLIsnSQefIdLrO - cBgFASQmim5/4BLUPCfM1npkfuuVNR1OkwoKcEC8KRGJ0MpaT1R5n2tbJhjj7u0521tOv2sLs7b0 - BTYNgoVvMzL45AyUKQqJSq4GpvBFETguKKKxkUEgC20QewJM48n7YCAGfesDxCUPe3tW+MkSZ6EK - DJnyAdQtLIqoIhgr0JzC1F3Hcx3oS1ualyE7xZxwIoTINTLKQAhr53JVLwqn0AGiAkGJKKLZ71pD - CTbwQIt2dy14kEtyAnf5GZghAzjAx+HAkptv0F/fNZS5IPAKAxeIiHYcujoabJqNEwx7A68T6sBr - LEoKlzF1rWrjkdftMl5xBVJe0IdyL5qc+XkLj50tWwQw9ODAygnZaM9bwNALASqyQV905YqtCRs3 - JfKFzs71i8kEoatYTsiJMTRFVkzfmHj8wYm9B5dqw60geNf3N1w3qlbhgF5eMnTOWLm363C75WWL - dW66syvwzQrO2L5zTOCvXbeBx3TM5R3VQkbjhM7UylSamOEIGvSVPhiPfqVUQtRe9xTHG2/PnpAB - wihlIiWVa6hUnqaokInj5Kjr7O37qDiwxsrtLXF9TdSbVo6oUFgJqHh/9coSg9/cvGmlKVciDC3G - pv1Sq+loZSq1wvPY1zCVivb9muP0bSdhq8lM64QMUIY9j0Zl0XWvh+GfIv+Vqhf4se/ZeziO1+lQ - m63GYx81GHZub9sDOguoDCXQKAYafMAkmI1jtNzkh9hTN8Abf9vv03K/zLKnve5mno9KHs86o53o - ABpyScq6512Oogd+UIsiGuVJlqZxki0vVwdDFwfoIDodW6fA95SvBJ7KQHc9LQvliGNd4mcVqpsH - 9ihqkoI9mZjhMB+NnvX7jwf953k+LMvCVIhOZsKJECKVo9KBBVt6PAlZtUq6XToip95Qhfa9QKSZ - lSAC//nn4vo1G3UqGoFnQQxefAMNSAtf0k2QGVLx1netmIYBJFa9bnrUifNc2swaXrNC6EQHJJso - nhd5XtvOAEWulWtMJUncfr/4r0/E6qohzGhoGFicbL+y+Obg8hWLdexmYS584BVRywqbBxYOoAEA - iVx1DvRgoNJUaQ2D7V/MXshOcACUu27F86quW0Oe09TvdILmHMdSqbTfMySEOgU1X3xl0TLXsknA - YmoEOMETog7K8YTf8OIYh/kTKEG/7Xrm4KBAG/AHuwuUuNQN3mdcx9ULBMhxaIQAIo17DYUmQllW - MA/U60hHMRrJ58/EV89tUAkw5pKKet02c1hMLBEotreAE2JK77S+LhaXvsYPPthiLM32djqJk1yl - Wmcl+u3tZrSenx2fAQl4ys7EyiU348U2SZ5rz8cHMx4X2GexwVDmWuuR9vfft3Vta+trGnAW4X/3 - oVhYsBnYYSIb2xq3uigGQ4ihD/Yn8URppaUk/IDfrotywEYeowVGqp7nzRvjk4FeN2+3k/kFqNzG - PqJLoV1asmZZ6XTZh7Ph/90jG2yO337Htg9PngjShXTiDx+pfft75vAQy2O6oLIG2zmpRP/FOVBm - 0yJa657vwz42DrI4KSjO1YrPNihdA8nBB7oDwM3oSPtAq2PFStuoc3DpkvjXf7H1ASyBR6QWepC0 - 7a1ib69QeaHUf8fxJ+PxZ1qz8z71YdYcHAMhsCi1JjZDAm9Mh3oMnByH5wBw0RmOvOVlSpgE6JRY - NBQGYtnmpkhWbUKWltmrs6n4+GOrSCAHV3GSU/Dh8WOQZgU0SeIse5Tnr2gihKDjYjY4RQaOpwst - yiDLDrJsT6mt15tndphKk2g48JdXnGWsadjJ/agr2JSmSFGzdndsc0H7ADHAzNOnVnzwhI/ghw08 - ptPNp+AN0c+zrJckL5TqlNcnapSw6VA/UxKOyQB/zxaHMiY1ZuI4e7VaJQhqQWA3P4glDTbZxiCE - Ei0nA9RgQsdHKIG5G7fEixd2ygFmfIn1CBSgYtDhz0mI61JYuPggz3lsQwdBE0oJm8nuNz863gF7 - Me7BSFCpdK+uepSFQR+XPDpf33cHAzuawVS0iBjzTvtAO4S5jMifP7bfoKecmtaB6Y8ZdOAMOGR8 - KYqx1jt5fqA1DCbwCB2gYKS8gH0hK2cUAeSfskU3WquNcSbL2GWhPtB+GTaw4C4LTBN1rKfDuX1H - fPWVePnSjjXkB1thM5gh/BAAhQVjWrO5S2i0UhOtn2VZR2smAcYxhjKiZp2bcR3PAZDAYs81U/rI - mK4wdFoUUcgtcyXZ1UFGERaAAUKwFbvhMRsTDGLYzSnKM4FvzX2dqGlOOIX/lHatu0nyWCl0gpCD - H1QIUJUdyGwenNCNvr4IgAkWFh5oHSq1AM7Z4fJcjo1LL+8iqdZclhVKAihtTqAva1oKmImhOLEH - 4q9RThJAzman84953ikrAD8n/NOX/dtZ1vEceHMF5CgdDLaCIAyj20lMWXDokZJUFl3b08NIyjB7 - PiTk2XNxadnWLzYSYTDoB0jQgAVs8I1iRxpLfNr6BYr4eOY1kwPcDJEeDJ7V6t78/Gg88unkXDd1 - ZAXcW8yAkypbFvaYMZ8gAid8IOT4hsXYzSkaDRLCqfKC2WAQp7Sg7DOeY83kABaVDrD/Gjab6e5O - Dgtdt/CDCOPQHKykshLIekMc7Fs2c2yHhODrkFsZ9WwqqBic0poOtJem21QY1LPUnzM6MSMHuDoW - u77vLCxeGQ5qWe5kqWR/F1ECTtiHA4QZi7Geg2lfBHJ48IEc+b6p13imZKgY47HKskmef5xlv8nz - p0o9KcXnW3eAGzAs5chLVLlqimaW1XWRZXk1Vz4mtloWJGgRoAcqHFDaQMu0irXbOooUbcjeHt3H - S61pHLD+U6Wear37msFn8WFGCNlL53kcTw73939xY52n3IrqZopLPKFgZ4FRkC0T+lAyAFNZSA2S - jjQBGJwJQ0XbANLwOMu+0BrkoKFbRbE9e82y1/2DNTuELPM0lUexy4Im+Vqzj7nMowNaVBwg/FNb - Md1CqFxAi1V2qUxzFGY5HFJ6v6Q1zPNHgKco0NpTqP70st98P4UD0z/DA0anPGf/jDr9Xd9v8JQl - zyn+dkqECYgpx+gpLziAM8iR4zD6FL0ebtAXviyKwzz/56LYoXJZzTrHOgWEXt+FQawfhi+N4Ynk - F4UhD0SBzRVrtyVAmQoOaC7ICYjCq8EgG41GSTKk81HqkdbPlXpuDNXhXBqKSWdwAGQDesaoQ8/7 - VOVXKFBKhZQCOz3znC+y0Cf8yCg9BYsy3O32R6OpaLLD98sSRRdg/RkdwO2yCXvluJ85ztuOy3AO - fmjr3PIpjmUt30z3D+HuaKRHI4YKuqm+Upslco7OH3sbG245/eeU7wECAwCyFAouwsIw5CP/HwJp - chgYyk1cieQjStQ7U6TD4VdKsenJePTvWn9hDIN92Tyd8sZ/+POzOcC9edE/0jzuGrMdBPCBxpvd - kSr9Up5JigCdeLnlnys1GI3+pyjIwCjPfw6DeR57Tu6+8eQsHPhG9nWef0lLTIWjP2UD2JgbnUPH - 88hJfueu7vfS8ZgHsyDniVI4QN+G9aD/YsKPG2dz4I3/HFiQx/Ej3/+eEO0kodqxE6EbjQRaCzkq - zEGa/VrrJ2XxotHjif55leebtz+/A1yNSsRQ+0KISp4zslk5cl2nVs/SRGXp0Wj0HxTd0oHD8wv/ - N63n+EIcoBIxKP+uvHTXmGtxbI6OctcdKbUdx88nY3b9n7PLfZ6m7ffsfvPxohzAh63yMfVTIW7n - +WA83hdyP01+TsuQ549B/0Wx9o3p04OzqdDvXcRCpkT2dIfwqhBPjfksy/4pywAPx0D/21oX44DL - aOyxaUce+J+UC8b8tih+WRT/6djNvJQm/Nsy3xbMC1oMa+XGY0vry8YwvQ/K/ynEc9+MseGCbvLH - y/w/jMD/AYaQJmZT+7p3AAAAAElFTkSuQmCC - - - - - 0.041283 73.536810 5.287583 - -10.884668 73.536816 2.066876 - -10.884673 58.011843 2.066876 - -10.884673 58.011843 2.066876 - 0.041283 58.011840 5.287583 - 0.041283 73.536810 5.287583 - -10.884673 58.011843 -2.143158 - -10.884668 73.536816 -2.143158 - 0.041283 73.536810 -5.363866 - 0.041283 73.536810 -5.363866 - 0.041283 58.011840 -5.363866 - -10.884673 58.011843 -2.143158 - 10.967239 58.011843 2.066876 - 10.967234 73.536816 2.066876 - 0.041283 73.536810 5.287583 - 0.041283 73.536810 5.287583 - 0.041283 58.011840 5.287583 - 10.967239 58.011843 2.066876 - 0.041283 73.536810 -5.363866 - 10.967234 73.536816 -2.143158 - 10.967239 58.011843 -2.143158 - 10.967239 58.011843 -2.143158 - 0.041283 58.011840 -5.363866 - 0.041283 73.536810 -5.363866 - -10.884673 58.011843 2.066876 - -10.884668 73.536816 2.066876 - -23.431894 73.536816 -0.054510 - -23.431894 73.536816 -0.054510 - -17.047201 62.064239 -0.054510 - -10.884673 58.011843 2.066876 - -23.431894 73.536816 -0.021772 - -10.884668 73.536816 -2.143158 - -10.884673 58.011843 -2.143158 - -10.884673 58.011843 -2.143158 - -17.047201 62.064239 -0.021772 - -23.431894 73.536816 -0.021772 - 23.514460 73.536816 -0.054510 - 10.967234 73.536816 2.066876 - 10.967239 58.011843 2.066876 - 10.967239 58.011843 2.066876 - 17.129767 62.064239 -0.054510 - 23.514460 73.536816 -0.054510 - 10.967239 58.011843 -2.143158 - 10.967234 73.536816 -2.143158 - 23.514460 73.536816 -0.021772 - 23.514460 73.536816 -0.021772 - 17.129767 62.064239 -0.021772 - 10.967239 58.011843 -2.143158 - -10.884668 73.536816 2.066876 - 0.041283 73.536810 5.287583 - 0.041283 73.536810 -0.054510 - 0.041283 73.536810 -0.054510 - -23.431894 73.536816 -0.054510 - -10.884668 73.536816 2.066876 - 0.041283 73.536810 -0.021772 - 0.041283 73.536810 -5.363866 - -10.884668 73.536816 -2.143158 - -10.884668 73.536816 -2.143158 - -23.431894 73.536816 -0.021772 - 0.041283 73.536810 -0.021772 - 0.041283 73.536810 -0.054510 - 0.041283 73.536810 5.287583 - 10.967234 73.536816 2.066876 - 10.967234 73.536816 2.066876 - 23.514460 73.536816 -0.054510 - 0.041283 73.536810 -0.054510 - 10.967234 73.536816 -2.143158 - 0.041283 73.536810 -5.363866 - 0.041283 73.536810 -0.021772 - 0.041283 73.536810 -0.021772 - 23.514460 73.536816 -0.021772 - 10.967234 73.536816 -2.143158 - -10.884673 58.011843 2.066876 - -3.762045 53.411712 1.767449 - 0.041283 58.011840 5.287583 - 0.041283 58.011840 -5.363866 - -3.762045 53.411712 -1.843731 - -10.884673 58.011843 -2.143158 - 0.041283 58.011840 5.287583 - 3.844612 53.411712 1.767449 - 10.967239 58.011843 2.066876 - 10.967239 58.011843 -2.143158 - 3.844612 53.411712 -1.843731 - 0.041283 58.011840 -5.363866 - 0.041283 42.486877 -0.054510 - 0.041283 58.011840 5.287583 - -3.762045 53.411712 1.767449 - -3.762045 53.411712 -1.843731 - 0.041283 58.011840 -5.363866 - 0.041283 42.486877 -0.021772 - 3.844612 53.411712 1.767449 - 0.041283 58.011840 5.287583 - 0.041283 42.486877 -0.054510 - 0.041283 42.486877 -0.021772 - 0.041283 58.011840 -5.363866 - 3.844612 53.411712 -1.843731 - 0.041283 42.486877 -0.054510 - -3.762045 53.411712 1.767449 - -5.136613 53.411712 -0.054510 - -5.136613 53.411712 -0.021772 - -3.762045 53.411712 -1.843731 - 0.041283 42.486877 -0.021772 - 5.219179 53.411712 -0.054510 - 3.844612 53.411712 1.767449 - 0.041283 42.486877 -0.054510 - 0.041283 42.486877 -0.021772 - 3.844612 53.411712 -1.843731 - 5.219179 53.411712 -0.021772 - -10.884673 58.011843 2.066876 - -17.047201 62.064239 -0.054510 - -5.136613 53.411712 -0.054510 - -5.136613 53.411712 -0.054510 - -3.762045 53.411712 1.767449 - -10.884673 58.011843 2.066876 - -5.136613 53.411712 -0.021772 - -17.047201 62.064239 -0.021772 - -10.884673 58.011843 -2.143158 - -10.884673 58.011843 -2.143158 - -3.762045 53.411712 -1.843731 - -5.136613 53.411712 -0.021772 - 5.219179 53.411712 -0.054510 - 17.129767 62.064239 -0.054510 - 10.967239 58.011843 2.066876 - 10.967239 58.011843 2.066876 - 3.844612 53.411712 1.767449 - 5.219179 53.411712 -0.054510 - 10.967239 58.011843 -2.143158 - 17.129767 62.064239 -0.021772 - 5.219179 53.411712 -0.021772 - 5.219179 53.411712 -0.021772 - 3.844612 53.411712 -1.843731 - 10.967239 58.011843 -2.143158 + -42.338106 -42.357772 -1.000000 + 42.416807 -42.357799 -1.000000 + 42.416841 42.397145 -1.000000 + 42.416841 42.397145 -1.000000 + -42.338095 42.397145 -1.000000 + -42.338106 -42.357772 -1.000000 - -0.282747 0.000000 0.959194 - -0.282747 0.000000 0.959194 - -0.282747 0.000000 0.959194 - -0.282747 0.000000 0.959194 - -0.282747 0.000000 0.959194 - -0.282747 0.000000 0.959194 - -0.282747 0.000000 -0.959194 - -0.282747 0.000000 -0.959194 - -0.282747 0.000000 -0.959194 - -0.282747 0.000000 -0.959194 - -0.282747 0.000000 -0.959194 - -0.282747 0.000000 -0.959194 - 0.282747 0.000000 0.959194 - 0.282747 0.000000 0.959194 - 0.282747 0.000000 0.959194 - 0.282747 0.000000 0.959194 - 0.282747 0.000000 0.959194 - 0.282747 0.000000 0.959194 - 0.282747 0.000000 -0.959194 - 0.282747 0.000000 -0.959194 - 0.282747 0.000000 -0.959194 - 0.282747 0.000000 -0.959194 - 0.282747 0.000000 -0.959194 - 0.282747 0.000000 -0.959194 - -0.232112 -0.054893 0.971139 - -0.232112 -0.054893 0.971139 - -0.232112 -0.054893 0.971139 - -0.232112 -0.054893 0.971139 - -0.232112 -0.054893 0.971139 - -0.232112 -0.054893 0.971139 - -0.232112 -0.054893 -0.971139 - -0.232112 -0.054893 -0.971139 - -0.232112 -0.054893 -0.971139 - -0.232112 -0.054893 -0.971139 - -0.232112 -0.054893 -0.971139 - -0.232112 -0.054893 -0.971139 - 0.232112 -0.054893 0.971139 - 0.232112 -0.054893 0.971139 - 0.232112 -0.054893 0.971139 - 0.232112 -0.054893 0.971139 - 0.232112 -0.054893 0.971139 - 0.232112 -0.054893 0.971139 - 0.232112 -0.054893 -0.971139 - 0.232112 -0.054893 -0.971139 - 0.232112 -0.054893 -0.971139 - 0.232112 -0.054893 -0.971139 - 0.232112 -0.054893 -0.971139 - 0.232112 -0.054893 -0.971139 - 0.000000 1.000000 -0.000001 - 0.000000 1.000000 -0.000001 - 0.000000 1.000000 -0.000001 - 0.000000 1.000000 -0.000001 - 0.000000 1.000000 -0.000001 - 0.000000 1.000000 -0.000001 - 0.000000 1.000000 0.000001 - 0.000000 1.000000 0.000001 - 0.000000 1.000000 0.000001 - 0.000000 1.000000 0.000001 - 0.000000 1.000000 0.000001 - 0.000000 1.000000 0.000001 - 0.000000 1.000000 -0.000001 - 0.000000 1.000000 -0.000001 - -0.000000 1.000000 -0.000001 - -0.000000 1.000000 -0.000001 - -0.000000 1.000000 -0.000001 - 0.000000 1.000000 -0.000001 - -0.000000 1.000000 0.000001 - 0.000000 1.000000 0.000001 - 0.000000 1.000000 0.000001 - 0.000000 1.000000 0.000001 - -0.000000 1.000000 0.000001 - -0.000000 1.000000 0.000001 - -0.252874 -0.447377 0.857851 - -0.252874 -0.447377 0.857851 - -0.252874 -0.447377 0.857851 - -0.252874 -0.447377 -0.857851 - -0.252874 -0.447377 -0.857851 - -0.252874 -0.447377 -0.857851 - 0.252874 -0.447377 0.857851 - 0.252874 -0.447377 0.857851 - 0.252874 -0.447377 0.857851 - 0.252874 -0.447377 -0.857851 - 0.252874 -0.447377 -0.857851 - 0.252874 -0.447377 -0.857851 - -0.433931 -0.293144 0.851922 - -0.433931 -0.293144 0.851922 - -0.433931 -0.293144 0.851922 - -0.433931 -0.293144 -0.851922 - -0.433931 -0.293144 -0.851922 - -0.433931 -0.293144 -0.851922 - 0.433931 -0.293144 0.851922 - 0.433931 -0.293144 0.851922 - 0.433931 -0.293144 0.851922 - 0.433931 -0.293144 -0.851922 - 0.433931 -0.293144 -0.851922 - 0.433931 -0.293144 -0.851922 - -0.746638 -0.353874 0.563298 - -0.746638 -0.353874 0.563298 - -0.746638 -0.353874 0.563298 - -0.746638 -0.353874 -0.563298 - -0.746638 -0.353874 -0.563298 - -0.746638 -0.353874 -0.563298 - 0.746638 -0.353874 0.563298 - 0.746638 -0.353874 0.563298 - 0.746638 -0.353874 0.563298 - 0.746638 -0.353874 -0.563298 - 0.746638 -0.353874 -0.563298 - 0.746638 -0.353874 -0.563298 - -0.552880 -0.799352 0.235288 - -0.552880 -0.799352 0.235288 - -0.552880 -0.799352 0.235288 - -0.552880 -0.799352 0.235288 - -0.552880 -0.799352 0.235288 - -0.552880 -0.799352 0.235288 - -0.552880 -0.799352 -0.235288 - -0.552880 -0.799352 -0.235288 - -0.552880 -0.799352 -0.235288 - -0.552880 -0.799352 -0.235288 - -0.552880 -0.799352 -0.235288 - -0.552880 -0.799352 -0.235288 - 0.552880 -0.799352 0.235288 - 0.552880 -0.799352 0.235288 - 0.552880 -0.799352 0.235288 - 0.552880 -0.799352 0.235288 - 0.552880 -0.799352 0.235288 - 0.552880 -0.799352 0.235288 - 0.552880 -0.799352 -0.235288 - 0.552880 -0.799352 -0.235288 - 0.552880 -0.799352 -0.235288 - 0.552880 -0.799352 -0.235288 - 0.552880 -0.799352 -0.235288 - 0.552880 -0.799352 -0.235288 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 - 0.041283 60.570042 -0.038141 + 0.039362 0.019681 -1.000000 - 0.500000 1.000000 - 0.212500 1.000000 - 0.212500 0.500000 - 0.212500 0.500000 - 0.500000 0.500000 - 0.500000 1.000000 - 0.212500 0.500000 - 0.212500 1.000000 - 0.500000 1.000000 - 0.500000 1.000000 - 0.500000 0.500000 - 0.212500 0.500000 - 0.812499 0.500000 - 0.812499 1.000000 - 0.475000 1.000000 - 0.475000 1.000000 - 0.475000 0.500000 - 0.812499 0.500000 - 0.475000 1.000000 - 0.812499 1.000000 - 0.812499 0.500000 - 0.812499 0.500000 - 0.475000 0.500000 - 0.475000 1.000000 - 0.206250 0.500632 - 0.205619 1.000631 - 0.005619 1.000000 - 0.005619 1.000000 - 0.006250 0.500000 - 0.206250 0.500632 - 0.005619 1.000000 - 0.205619 1.000631 - 0.206250 0.500632 - 0.206250 0.500632 - 0.006250 0.500000 - 0.005619 1.000000 - 0.999368 1.000000 - 0.818118 1.000631 - 0.818750 0.500632 - 0.818750 0.500632 - 1.000000 0.500000 - 0.999368 1.000000 - 0.818750 0.500632 - 0.818118 1.000631 - 0.999368 1.000000 - 0.999368 1.000000 - 1.000000 0.500000 - 0.818750 0.500632 - -0.001099 0.001102 - 0.498899 0.000001 - 0.500000 0.500000 - 0.500000 0.500000 - 0.000001 0.501101 - -0.001099 0.001102 - 0.500000 0.500000 - 0.498899 0.000001 - -0.001099 0.001102 - -0.001099 0.001102 - 0.000001 0.501101 - 0.500000 0.500000 - 0.500000 0.500000 - 0.498899 0.000001 - -0.001099 0.001102 - -0.001099 0.001102 - 0.000001 0.501101 - 0.500000 0.500000 - -0.001099 0.001102 - 0.498899 0.000001 - 0.500000 0.500000 - 0.500000 0.500000 - 0.000001 0.501101 - -0.001099 0.001102 - 0.239510 0.497021 - 0.416281 0.397329 - 0.500104 0.495545 - 0.500104 0.495545 - 0.416281 0.397329 - 0.239510 0.497021 - 0.503304 0.498745 - 0.589081 0.378129 - 0.789910 0.497021 - 0.789910 0.497021 - 0.589081 0.378129 - 0.503304 0.498745 - 0.509500 0.038178 - 0.504614 0.488672 - 0.421500 0.394530 - 0.421500 0.394530 - 0.504614 0.488672 - 0.509500 0.038178 - 0.584700 0.384930 - 0.504614 0.488672 - 0.509500 0.038178 - 0.509500 0.038178 - 0.504614 0.488672 - 0.584700 0.384930 - 0.100189 0.145966 - 0.258942 0.145966 - 0.258942 0.304718 - 0.258942 0.304718 - 0.258942 0.145966 - 0.100189 0.145966 - 0.258942 0.304718 - 0.258942 0.145966 - 0.100189 0.145966 - 0.100189 0.145966 - 0.258942 0.145966 - 0.258942 0.304718 - 0.097882 0.222882 - 0.277118 0.222882 - 0.277118 0.402118 - 0.277118 0.402118 - 0.097882 0.402118 - 0.097882 0.222882 - 0.277118 0.402118 - 0.277118 0.222882 - 0.097882 0.222882 - 0.097882 0.222882 - 0.097882 0.402118 - 0.277118 0.402118 - 0.277118 0.402118 - 0.277118 0.222882 - 0.097882 0.222882 - 0.097882 0.222882 - 0.097882 0.402118 - 0.277118 0.402118 - 0.097882 0.222882 - 0.277118 0.222882 - 0.277118 0.402118 - 0.277118 0.402118 - 0.097882 0.402118 - 0.097882 0.222882 + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 - - iVBORw0KGgoAAAANSUhEUgAAAIAAAACACAIAAABMXPacAAAACXBIWXMAAAsTAAALEwEAmpwYAAAg - AElEQVR4nO29eZCd2XUfds65937L23rvBtBYZwYYzILZSHE4omSR0oimKNHlhCybi5OoaCW2aJei - WHaq4lTi2H+lUlHFTkWVKjup0l9ZZMmJYsWKRYqyuWhIzkLMBsygGw2g9+7Xb1++5d57Tv74Xjca - GIy4CEA3yvMrFPDew1vud3/3nv3cD+ADfIAP8AEODOqgB/CjYWx8vFQqpUkCAKVy+W/+7b/9C7/0 - S965sfFxZq7WaoSY5/lBD/OwAe/aN2mtiwdxHP+T3/qttZ3Ga2++9Z/86lcmJye1MUEQhGF4137s - A7wfEPEf/KN/1Mtt8WdheeXX/s5vVKpVpRQRHfTo/h3Asfn5Vy5e3COgl9vtdudv/K2/FYYh4t3b - bvcFD956McacOXOGnWPv914kwtMnTpTL5QMc2I8HfdAD+NGAiEqpMAjazeb26sre6/V6fWN9PUmS - Axzbj4cHjIACWmvnnLV275Usy7Iss9aKyAEO7MfAA0YAIjKzMQYRhXnvdREhogdOAcADRwAze+8L - QYT7DB5E1Fp/QMD9gIgw820WJxEZYw5wVD82HjwrCACI6L32fkHJA7cJHjACEHG/uBeRPa0bBMGD - 6IU9eCMGAOfcbdYOIhaK4aCG9GPjgSQgTVPn3P5XRMR7z/vsogcFD5gSvk3yFDKneMrM790Zhx8P - 3g4gImutsxb25lrEO5dl2QM3+/DA7QARQcThcJhmGextCADn/YPoBsMDR4BSKo7jWq2mlSKikdYV - QQD3oxCAAAhwGDTGwRAQIpQUzIZYNdS10stZBDxALpAw5LdO457cL57OzMycf+yxSrVqwtBoDQBW - qTCOwyhSSu0pZ1JKmG+jJNIYG5wMqEYgAGsJt62wCAAigOMD2EAHQAAiTBh4ukK/OGcenQguZ/6d - unUsm4m/lsqVBODWedg/id77IAgef/zxcq0WxrFRCgDI+1KlcvrMmUq1kud58X72/janDAEmI3xu - 0jxZplJJlUv6es/96Wp2bcAZS+4ORnzdb8MZAcYMfu54+J8+UX5yTJednNb00ePhRx+vTRgcpnYl - geROooGIoigyxpw9e/YX/9JfOjo/PzYxEZpAa43GWOcJIR0mly5dej9jdFLBizP6cyfDv/hE7cPz - 0eNT5pyG8TLVc+g7SawcxAa4vwQEBBcq+F+dDf/qhercfARE8UyAAtxzEcLJcTNmecv6pSG8dyq0 - 1rOzs5OTk1/+lV95/Mkn544di+ISaYVak9LaGGA+Pj9/9erVjY2N9yqDqoLPHg3/41Phw7NBOSJO - WYa+NhWenTVPV9X1Hi8PvPMHwMD9I6CE8MWj6u8+Gj19olydDYHBxKRjzbl3TtyQJeMaQxzCjVRa - FgAQ9mQIotH63LlHv/KVX33q6aen546Mj0/slzBaa0G0uT1/7tErV640Go09DhBhtmr+y5+off5E - WI1UHGuKCAUoILGsNdUcn62aSy3bzcTedwruEwERwRfm9K+ei48djUtzIeeCiEjoBp4tmJiCmjYV - BY5nHY6V8J0hDD3wvoVcqVY/+9nPnn/ssXK1OjE5WSqXYV+5BSJa55vtnrP2mScf7bWbq2sbhSwi - xC89N/bL56ISSFjVQVVzzpyLCikYN7brbd9WU//cjNnsuWYuOd9h/9073HNHTBNWAvzUnP7yw9HM - kSiejfzQCbCOSURUQKZCiISGVKCCWmAE/kJVvzgfh4r2F7SkSdputYuYzy0/gAAAAkCkWIgFDcr8 - VEVkTxPIU1O6UlLheAAIWdvxUJjBdZ2JVDgZ6LJWEc0D//r50kcndFnh/fRO760VpAgenjR/7Xz8 - qSpNxmSqQd62OiIyJJ5VpDjzRAQ5+8zrMROUdDCmses+Mx9/bdMlll2xCRBLpVIcRwUBzKN0vABg - sVxFEAmU0ooEpNdp7u0eAQis9QPtU5v3rKmE8VzgEguCtmNVScUTQVgxWSM/1sn+i3Nx5Ub21c28 - 5e6TU3cPyUaAWkj/0RPxf/BUZW46MGVju5Y0kiZACMaD0mwQjAW6ooOq1rGyQ0aUeDpQEZ0L/E+f - qBqt9tY7y02j/hY7Z3c/KK20CRRhFJeNpr03xxqnDACzt1I+EgVlVBGYso6mDBPYriUFSBAfDUvT - 4biSr8zqXzkZjt8v5XivCCCCE7H662fjzz9WjgB0rHzqdUkV+700HZiKJkOmRFqTCimeNEgACk3F - lOYC380/eyY6Ozu294XJcNjpdAs+8D1xf0QkwMhoRUg6DOMy7jIzYbBa1qasgrJWASERAuiSDmom - rBnS6BJPCsD6aCqsnS5PT6p/f8785+dKD1WVuvfC6F79wliovvBk6W98uFrWhBrEcjBmwgmjAjQ1 - TbFChSCoSwYjREAW1CUSECQIaoYMPh7Zjx6tqN0F7pxrt1vF2lf7CdiLyCFoRQKIAHF8s0AIFbYZ - BAUJAFE8U6B0RLqkwwkTTQfhWKAjpcuaDEZTZuqR6liFPj1O/+z56oWJe57mvFcEnKrpLz5ZCQVJ - o44NBRTPGGFQkdKRIoWoCRBExMTalBUChFVDGslgUDGV+Rj76WdMOm2KsA0gYqfTgVE87g7DxiIt - A6CUzjwICAAQQmgQFDKRicmUFIaKDKJCJFCRMjWjQyxmQhkkoqCmp87Xxk/HR6z/H56Kz1Tu7S64 - J9+OCM/PmQknKEIRCQsa8qmokIKyIkLQhAgUKDSIhLqs0EBhF3LOIhKOG5fLjLdHI0OFnSPS7/dB - hL0HukPilxBZQEQAYc+lIsRSQHNjWgUEQIWoIkJUo/8mQ0HVqJBUpNEQANvU6zKVjsaVE6WjGv/h - +dKZ+B7mme8JASWDLx4J2DMZIkMgIl7IoClrVAgakRAQUQEpAgIVqaBqRAAVUoici44oqJABNxfr - ItgpIt1ul4hIKbijhYI4HKaFgeScL3QAixiFxWMRQQQFMPLvEJAQCSkgU9bCQoooUCpQ2YCRoHYi - Lh+PPzKhvzQfTpt7xcE9IWCuoh+tKSQkjSjATnSkVKRQF/OOiAAEgAgIZIhCZcpaR4oQg1gzACoK - a8ZoORLrPbt/lIkU8d7vyf49KnLr0jRjFhEJA13MMgt4AQYABDIoAri7e5AIEFAhIOiyDmqGPaNC - XVJBhOLBW64ci0pz4b93IvzktC7dG1F097+VEJ6Y1uUAiYA0CgtbpgCVQYBi+1Ox+mDXxgdENAo1 - qpAoQB0RKtERaYXHg5vynpkbzSYzO2ud97TPI2ORwTC1zglIsdL3YL2kOYsXQUQUCHE3wFrsA0RC - BNBlZcq6eNFUjQoInLiES0fCsar+0pz+2Zq6F9vg7hOgCV98qKJQAJEMAkA4bnSsiuUmIIW6BAAp - FGXxCoAKCDWhIqWAFIIiCOF0TQc0mjDvfafdHg6H/V6v1+nkzgIziLBwMhz2BgkpLYDeew1+XxgJ - UicAQCCoqdgBiDcdCCREBDIUjBsVERKwZ1IAxU4ViI8Ep6aDL8zqC/Hdr3u5+57wRFk/PRNwmpuI - yCj2YsoaEESQYCR2QGC0/Ed+LCCBICpD4EUQ2QMSBCU1J4FRCH5EQLPZ7PV6JghEJMsyLSIiFiDP - 8iRHIqVV4JEnKqEmLMrXRWDgBTWCB4R9MmvfckZCQUSNuqx94oXFOwcaQAiFVUSVqeBUz39h4OuW - V+3dDBbdfQJma8FkSSlGFRGAiBco5hwBvFBUJBFBQBBxVxQIIBZGCihUhoCFNFFI40Lm5loma+1w - MEiTpNvpjE9MhMYwsxcBJNYlUiYIQ05VKTK7HwHHMEhZWFBQ9ui/Y9uUABlCBJd4CggQOAMg0lpU - SJUxdayhPlLi7S5nd4+Bu08AUcQp6liTRs6ZDBVSHAVAIyoCkMJAAkQEEREQHAkoX8QYxDsRFmAM - kcsB1TMvAM5ZRLR5nqZpfWurXKnUajWlFCkVhFFYpbAUoAlYG6VG10UIhNBPWLygISJgBIHb7djd - yDUAC2qiADhjIsJYxIG3iJoqJd1g7njxcDdxFwjQWvt9zSqV0liSE0ZORMSDihEBpbhIPRKh4kQM - IICw7K1HVCiKAFicsBUREGaV5LWAADwIDIfDovKHEI3WzUZjZ2cnjuNSHI9PTFJQiUpMioIwRB0W - wTiFyAL9XMQBaBEGYIE93TPSwzevRRhQAQWIBjnxoIgMsJCOiQweGQvXNu9y5vjPpVS01kRUzD7u - YmZ8KgpDKuLGxYUKFBJoZI6zIO6qYRZh2Z0CxD29IKIMUUDe+SNVTQgAYq3NssxZKwClSmV2dna8 - ViMiZmbv2WU+GwaajNadlP3uPFkv4sVaFi8io2D//pi27D0RwN0YnI5UITmBQIekY42EUdmwvstR - ultK7Pfm8bY3FS8WNcn73+Cc48LwFmHm4vFWq6VEBAUAKCApDEMvuz+BhTQAQBEAK1C4ryIjFSkC - LEhABnWkPEg1UoXF6b3f3NyU3Qp1rVQUx+VyOQxDAXFZ4rKhVgp10M+hYJsQQo1KAHZVESDs2gO7 - VycixQXhzWdoyFQMGQQBKczoUEk56ju5u8Ust5TY31YAsoe9Kd6rRb4jT8XroDUYQoXsGBGJoJje - vZ8SESicAAbxAoggMJKsCkGAFI0M05DYS7tviUZTU6/XmbkYqCB65jzPTRAwC3vn8hTEM6qwMrk7 - HtAEzICMiAIC4IVl1xkGABDgXZ2wF9crbFOtSBEptKkHFqVwNuD58C5vgZsE7C/1fj/IaD2P3rmf - hmKLGGOeee6ZShiIF2EAApGR2C1ErogULqgIiBcKCQ3CrlWORCPngAEQVKRA0VLLWl9kiLHdbrP3 - pFQUx6VSKQrDonVbEXpn2eUIokw4c+zkXsiaALKcgQGA2As7xv3lQrJPBxSbY1RtJ6hHQToQZC8M - ULJuohwGWlWr1TAMCwn8fmvxvUBEY0y1Wn1fAvbet/8bcR/2P73tU8V/nX7ooU/87M8QUdaxuBvF - LFQfFdfDgojAAk449wIjZwe8jBhCEBRUo2mhMM55NDkAEMdxFEXW2kIMiYjNcxBRWouItRYBjAmO - Hj85MTkNAISIgAqIAFADCIAiBpDdcBAQisY911l45B8iYBG+BgBUCIDiGCzMnJiPSiVrbZ7n3nvZ - txxvm673ElCMsCiq3MNNK6jQZrAriwqZA7tLm5mJqFyulMulcqWitU7TLM9S532WpkXKcO7Ikaee - empzfV2E3ZCjcQAWQBDHhWwZ+aCepZhxltEWEREWcIxqT28jADCjHpscm4H1lQ0ACMPw07/wC5NT - U81m0zmntEYipXXRHZZbS4RIVAqjUydPfe6Lv/y//E+/KeAZ8PhsBcihISL0jqHwP3ZthJviSAQ8 - C4wEIBRi0yOhCBESegiefPajX1v6Vw4SUoqIjNZF21qW5+z9rpokZr9Hz23L1N56lMVNAoIgKL6L - mRExjCIQqY2NnTx16skLF6ZnZp/70HOPnn8sjmNtjCIkUgDQ6bTbrbbzfnpqslyrZYNhnDbUP/1/ - vEIk5FyQGABUYYAiCAsgAjNGRA5JIVsZ8ZR5LGsQgJFphKiQS+W//w9/46svvby8tPjJF3/u51/8 - uSRNEbHVamnvtdaBMYpIRJxz5XI5jONytXZ2auY//OUvB0re/O6ffOxU/OKxFjV3qNiLXgAE7yhs - BcSLeKaQiirgkV2gsAgsBuXo2Y+88Ndqc512+7kPf/jChacmJycLJqy19Xpd2FvngiDYWN945Xvf - XVtd3djYuHHtWr1eT9O0oEEptb/B9iYBhbqM4nj++PFjx4596MMffvKpp5986kK1UpmcmgrDaP9Q - 97bY5NTU7hMRQLF5vtwdOE8EqNFnnjSKgI5H4QfSBIQABAIYgICgQUAE3hWHAiyj4AQQ0PETP/OJ - v/Dpv/p5RCQAZ/Neu+2s3d7eNlrrKDJBAETFNg2jKAiCIIp0EB+bj/+zv/v3pPNF8/bvm5f+d1+s - b8suF8WAauSACwuCAAMSohVQ6D2IYzS7LgsDABIIEJjaxDPPPfeTX/ry/nj4yDxhPnr0KIz0iDx1 - 4amfe/FF9j61eb/TXVlefumll/7kj792fWmp0+ncmYBHz5//+Cc+8cJP/7Rz7tFHHz19+nQUx/1e - zzun9e2ZuZt7CkERUTFrAAzAg6HkVmks1juDYLHfC9MTAFxhdGLheUIRpPQClkGRiIhl4WK7QHDs - RG32CKpRdj5Q8fhMMN3vb2xs2DwveoaL0QRhNDU7V5uejuMSAKAWAgXBsSFVbC+LxpUK0ScjF4+I - JBX2XhgQhBCECAVQoVJ7tgaAjMwBISRFGAQMSIpQRARYpFDaRDTyYQqZIwIAgVKIGFN5anLq1EMP - ffSnPvZXvvD5hStXlq5e/Y1f+7U7EBDH8Wf+8l9+/oUXcLfXzXlPRKDu0H9bSDfvHSkVGKNYrAiD - IBILe2+NQbZeLPsMxUowhaRGeZgiJzKKx9GuBU5AgJJ7YWAnKAgCKJJ7U6R5ZdeNI6LJ6RmtVC6S - ZxkpFQaBiGijZ48cieMSIgKi0UCAQGXxgLnVoRIGlzgAJEI3yHE0jYgiHkCEkQS0AgAKyACOPBxm - AShyzahIkBBRI3oAYZFdJ1RGHgTuD5LDPmNFKXX8xInjJ0789Mc/fmcCAqX+5b/4F2ceenhqelpp - Bbvt57Trs448+GLxAnjnev1+qRRHUTSKNBSbwDpkQEJx4Jm1KCfgc3YpAwg7JkUiI7NS9rY/ASBQ - 14pjm3htlAiAZ5flN+PXu5Z6VCrpIFBZNhwOR1pPqXIUBVG0d8WEgIBAChyCElToE+9yQUKfeNtl - FaJ4RI2jiKEAGkL04sXnrAIyY4Y0eVsoDBQWIYWoi41emA6y60cX4RbYbxHhnqVVRNwRBKzNh8Ph - /qV8ixW0vbn57X/zb37hM58RNsUH6aYfNZLzvjCNAJxz3jlnrfd+9PMIIEKGvPdoizAcWSdu6P21 - QbFMSAMa4pzZMeBIA6tYBTUjgjy0GJIbODDgYi8sJHlubazUXmoeAIzW1WrVO1cEQkgpRNTGaKUK - ow2Y94LeShIkAQCXses7ACjccu8IEXxXEAEJUFNAAgYLAyzvOzvwuqaRAUkYxOeiayUVUTH7nsVb - CyCK6Gaabb+HBLfDeddqtVaXl+9MwMLCQimOX/rWNyvVyvM/+bEwCokUEuEuAUVFDREVFCutgzBk - 722eowlgl22jkFOx1gUlUoQu5cRimkiSMwaKHDthRlKRDpSwE0sKc1JbDKkrQV6rKLFivTc5A1Kg - yVkLUbS78QAAkGh8aoqZ91YDM5fLlUJ27skrQRTxkW9lID4Xl3ok5EhtxlVF2M8hceQBUGGkoWxE - M4dOYiNasVIOLKebKRKamkaRfOjx1DSRYQBhsNZxlmmtZS+3t5vge+/UFx7AytLS915++aVvf/vO - BDSazVdee80EwZ989atbG5sf+ckX5o4cCaNYEQkL0UgaFn/jvnZ1Zy2SIUPAgop0rSoe/MDDDKLC - DPEtG7+lx1rGOAGPkAJ5AZ+PHGQGxAwjxXOBe1bVf6LfjccDKcI1iqrVkK2VXesCcBTRmZmdM8bk - WVYYoHmWHTt5UgdBIZlhNwEBACav54TsGABQ4w0IvtMsrw7NeqJSD4IYkBiSInAdkYyFMl/hc7X8 - OKVjLsdexs6zRTfgysQRrZEFnIC13mcZCO8p4Vtm/1YzyXv/5uuv/8Hv/9+vX7y4fP36nQmI4rhe - r3/3e98DkSxN11ZXnnnuuaeefW5sfBxA0CPtmiLsvQAQc9EGxM5lmlWojXhEFFHoHGkQJ5nwG0n4 - r5Lxd9NYoxiU9/HZBQA3wbSCifO+bSKvYgIPFECAyCJyM2I5+md8crI2Pl58lj1bZ+M4JqUAxDsn - qAiQkMU522yCiFgRx2tD/gNXuzyIEr8rNQQs78tPAqwO4XIbvq3NYxX1qSg5JrkdsB0wIMS1WAXK - QkGAQxGl9J4G3k/AKN4r4pnTJHnlu9/9vd/5P29cv76wuNhsNu9MQJam3vv19fWvff3rzz7zTJIk - O/X6O5cvn3/88eMnT87OzmljtDHGGKU1ABChsBTh0CL4W9iq7JgdKUVJ1/Z7bl2C7Z5rJnkcU6wh - NEjqTrsUQACaVuWoeitJ7WTMoWgFdtiVLGNmICwWaWHLKq3UqLYeASCEuDDrEciJ996RViKQ9HvD - RoZtztBts/793vglX865mBrcW7i3gQX6Ob5WD6YJPxlh5EEZFEQTB6iJATyA9xwQKa3pju35zM65 - Trv9zuXLr373O2++8cbi4uLC4uJgMLitgecmAXvtbb1e79t/+qdrq6uPPfZYMhxeW1yM4/jc+fMX - nnl2cmoqCEMiCsMwLpeVImG2zglk5AwTkgCVqhTrvGGhK44FbVrt9Mf6qQMk8JEB1Ao0ilaAo+3s - GZTIeMCPlAZmFlzdD+u5z9mJXs0rY8PBFEoR9FKICslxoWRHxO06FUiACpG11iIAkKTp0sVX8uuD - 6N0003ixUqpjMJ33e33p9NhnQgHqkFARAKBnQWSFeWhYKyIs5ylCzmwHPR/PhjpEKkVFxkAAAqNi - UyalcDeIDbtOWZ6mOzs7i1feXVpcvHzp0ltvvnl1aanVahX+120Rz30iKIr2Oty891evXVvb2Hjo - zJlz587VqtWXv/vdd95559Hzj83OzXrnxybGZ2bngjAsTooMlYIkSRGM9wGhKcngug/KCnI/lqSn - BhIMyHkpA5fB66LQatemdB48I5FUI5yp+M2BnzNoU8kRtjZ5QTfnz96YmZ2LosgEAQsaAk2Y32ze - KEwwVIiBIs+CIta5PM9fee21d/6/Pzy1tj3G1Pa61hv8TNrKB+JTb3NBAJ2CJtEKEMAztC1tOd0A - 01OaNB0N3FxtSGXILeed3ByLAHRh7BlkE2hV5IkEishPmib17e3tjY211dX61tb21vYrr716+dKl - InJ1c7HcipsEFIfw7D+DIU3TS5cv31henpmZmZ+fPzI7OxgMojBEgEptbGpycmJ6KggCAixXK0eP - Hy9Xqs36VkWyqXKkQgWI2ss8Z4ryj9QwUkyO0QvyaPIK80oEmIEREMH2MR/iTgAU+sxIGqC9/Ma7 - x85MTYyNjY1Pz85WajVCMEqJePZ+73qU0kTIIqn3aZq2dnY2Ntbfvnixt7g422yXsrxq0yPKjYWs - a6jGAESIRZywHzkwxT9eYGChbbGTE1qcSpj7QRCr0nypNF9FbRhIezYiQORsbvN8mCTNZrO+ubmx - vtao14fDYbvVXry2dPXKle16fTAc7uVr9yzU/TTcJKDf7+/FQfdTNBwOr1+/vrm5GYZhHMezMzOn - T5+ezrJOq4lLV8MoKlcqk5NTC1cWgsAEWkeKz5ROzoy1pD9gx2XEh0MJAkZNoLUwsGUQkCIcXfhu - AoIggpbFMwiAAl/2qWR5XL/x7jsXr5w6eebE6XQ4PHL8+NjEhGdmECoMeAAAYfGZ9YCUpWl9c3Nz - fW15Y8O1di5sL84Ne4o9ig89cAYekUpULF5wApkHLwKABOCBAKoGyyJHRArtL/3cHC9Fk5GbOLue - uHx1A3rOJsNms7W1ttrtdEuV8nAw7Hba/cFgc3NzcXHx2tWlfr83TJJer/cDUyw3CSispSKWfdvH - EDFN0zRNO53O1tbWwuJiHMfj4+NBEMzNzs7Ozs5MT8dxjIilUmmyUmpGDwXZVWx2FGOpqlQZ8nbe - 7/kEtYs0g/KWgYVZM+zWKIJYK5JaJRJrqBEQIQAHROGJ00Nnt9o73ubW5synxicmdWDKWu/pgb5z - zJwNB1sbG5trq/XmTqOx7Ul3x49Mtxu5k3F0kRbH0B1C4MFQEfgUEWDAIhIYEhsEBR4AvQgQZQyp - k53NwY6eGAA20qvZG01QJowiTcqzB5F6fbvZbL7z7rsLV65sbW0Nh8Miovzeqb9jwvH2qog75iNv - e5okSZIkrVYLAJaWlop5j6JoYmLiyNzsycnaTmej0WxD7k8JV4HKZZKU3miZVyeO1CfGvGWxrJmN - ZyCwigAx8L7SH8x0GifywfkaTJZIgDvR1MZjH+FjJ6tRnAyHW3kyw7J6/ToRjU9O5ciBIgRwLMKS - Jsnm6srm+traxtpWqxGVyqpWbZ1/rtvt1bZXaiY1BFdS83s42wtKOWjQoIhr3oXCVpEgKpRpn83a - 5Gg2POaS8YB1AB2Gb+ZmIx1T3YBcN67i+OQUysitXbp69fI77yzfuNEfDN4vMyP78GftgD8bRHTb - VxSPi5OyrXXF5rh8+bJCiBTFGuYD/lJZjiXexhoi3a+W14/NOK1EwAmMQtIAAsgIuZNxbaaywQk3 - nJ1AhQAqyM89m1z4iZ1WxwZh2ah6ayfpD+ePnFhfXtba0PhYUVFUJIU2Vlc2VleuXV+6dmNJomiq - VJmdnatEUdhphb06u74nrFcrKzPHPeLAYwKkHRvPAGA1gaKKliUQxTzRG/zF5atPmtR7eDWVr2OA - XR4Lesl6I0lzE4alUmlpaWltba04ouU24b5Xw1DkV/7sif1hCfizz0La65oDACfQZ9+30E7hGwIf - ivxM33mFT0XJ6z7b1iVEMFhEC0YiRAEojYGBMyWe10ppJIHe0XOD8083cuu8xKbiM19fStfzpf6j - 6amHUuv82XNnS5UKIFrrdra3N1bXb1xfu/z6UmMB9HBm4sWJ+Y/PcSkyZ8/6zRt6uaGFj2FWybNO - qRQTKC9oUGkEAEOISlThPhOOSX6y4oWo5/nlTC94kpXN/OpymqaFKfleKQ37RIWMoqg/VPnEPexF - YwBEmAF5OMZ4OiwHcqzZWQ4qidIAoDzDbnkQAITOPdZu/IxqV4wEAJ2x+Z2nP7Y9NdO0fPb8kx9+ - 7vlpPrf0L8vLF1sO0qDKzmedXn2nsdbrtBr1nbUbaxsrO9cu1dtrPn39TH5jYmpi8qOfOaNDPUyH - wyyrri8abyMRcH7NlK3ShkQjKFUUBEMR7UOAY732Z7vLE+iE6LUM/3XPLQ/yJIwpBx8AAA8ySURB - VEnyPL8XJ3Ld2zbVhoPf68Jc4F6o2dJMfCZLv7h95aXKXF9UPMzbpXhIOkci5vlO++d0azzwxnG7 - dvTGhZ9qHzk+DKIXPvzC8ZMP1eLZTt7sbiZu+eTa9lDR1s6jG9GqCssKBNgaOzD9LRqulc3mjK8H - 3kLzXe5di+afO91t7rRPnFg4ceHJay8b4edcJ96xX68ca5dKY4PEGaWZjXPaewNygtOfzLZrYhng - ncT+Tgvq7gdf5p8H95aAHsO7DP9kRypxfiFQwZiZS9JPtlaZgVAgG0VQTEClWEwAKuNhPHbp2Reb - Z86xVk89/aHzTzxeKdeGLeltJnnGwsj90ubLYaLrVLLegbMgmcasrLqzsj01WFHe5gDQWht2NtNz - 5bmJ+eNLm2trTzz/yOqbsR1WkT9kO/P14RZFE1kGGrRwJD4giQ2Gmh2DBXx96H+zCWsO7vXNIO4t - ARlDBvB6Bv/1iv9v3eDsdEgaQw06RKVV4Y2pgHSoJGfXsxnFCw99eOv4w6zMU09eeOyJJ8rVqjFm - 2Bksv9XrNfLiRJP0xpyzJKIgiSXT4gkBUDSw4G7t7LBjF19uPP/5Y5NT08HMTK+f3zh27tzKWwYA - SJ2k/JjkFDAhAIJCIAWE4Bi7Hr7R599sws59Oc/pPvUj73hYGsC8c1PMiKhISBhFgBkZ/MBnA+lH - 40unn7360IXUmKefeebC008DSqlU9t4vvdx66f+40Vob8qhGiMjH0q5hFkRxmVPiXLFF9jI2Xk6T - HBGEQQSe//xcHJeyPG13+oscOMdxnmgQLI7LQhECh+ABUoaehcUh/F4DfqcH6z/Af7pruH8HNr1r - 4b/bgrOGzwQMArmAYwgApqMwHp+Kjp3i06cGM7NdwCceeeTpZ55FQu+9c67T7Fz8f9c3FnreCQBo - gxPHS0+9OHf8wrgJ1Jnnxtfe7bdW+ovfa3YbWWczLWUKAQZ9v/Fur93amZqeOzU331ivD8cmX37o - wxuTJx5feavcrmeDJEuTVu43LPQ9NCysW9jxsMHQum+Tcj8JGALcENiw8C0Lu11JMEbwUBicrIxP - z8/T/AkLMD4x8ej5x4zWnV67Wqm2WzudncHym12XsQiUxs1Tnzr2uX/wxOyZcuE/I+CJp8d9zs9v - ZTb3Sce+9cfb3/vdleTNdj50zNxpNarjk4+dO6c8v/7qKyvGNGeOhdevdRfeWWusXu4N160UWUZA - YIAc7rnc348fqqjx3iFEPD85/vAjjxx59rlgaloJXLhw4Sc+9JyzTgdQrY31uq1BWybHZ8emqsoo - QiTzPukEGTWiAqDPfHt9mEInqhlCpZUWkGF/8PU//trCu+/aOOad+sb3v3914crlZju7T8dy3BkH - fGqiBXBhJJWqLpWV1uNT07VaDQDjUkwKtDGV2rgS1qi0IWWwqFYrYqi3NcwhgndS5FhUQJMny7kN - WKzSxjubDIbjk5NPP/X0ztZWPUlVtSqVig0j+z4Du284YAICralc1rVaGIZHjs2fOXGiFIVJkpRK - U0EURFEpCGPl7Dtf3fitL/+RCWlsLvroZ09/8tcfGpuJ3/ttg0b+9f/16sX/a6U0GUzPBT/7905P - PVwmQUQaDoZRXJo/derE6dPdd6+w0lSuQFFE9O/yDjBhKKVyTlQtlR85Pj85OTkY9NPhAKenS6Wy - UgoQVM1MHh3TAeWJb2+mq5db3c2sNhPhrfJTBNYud9/5o/Vrb/WEZf6h0uemp8olg0LOWSBMhkME - mJycqoZBN8+sUtu9Hh/o7MOBH13MiANrO8Mky+2g0x30uuPVaqU2VlT3IyICKUNHHh8v1YzWaFN/ - +Rvbv/vfvNlavUVTisDiSzv/9revLny/W/gKYSWIymFRrUxElUpVKzUYDsH7wJhWq7W8vtFqtX5g - vP5e44DPeyelPHOeJCjSauzEcWlqenp2bg4VRVGERQ+1gI7pa//z4qBtAcDl3FhJL//J+pFztdps - 6DKfJ75+bfjmH2/+6f+2nPaKYBm88LkTT/78HCoAQBFmzwCwub62vra2sLBw+Z13Lr76Sn5rqf6B - 4IBFkHfOZllrZ+f7r726NjPT7fertdrcsflKGDBzUQEvzET031/61FeO/0E+sN6JTd2N17u//Tdf - f/xn51REeS9fv9RZudIZtnMAQIS4Zp75xaOCDsAAiPfsbG6d39jYuPLuuwtXrrz91lvJrSWCB4WD - JyDp9zPEYb+fZ1m1Wl1fXxv0etVqNc9ypbSIsHeESgfqE3/9zLVXG0uvtLKBZ5aNpfbG1faozRVv - 1kSNH42f/9yJsz81xeCKuHE6HA6TBAGt94sLC2+99VZ9e/tAr/smDv6WE0V/pfc+SZLJyclqpXLk - 6NG52TkAMMYQIiBSwAr1hZ8/On2qrDV1t1NmZM+jslgERAgiBYhnX5j+9N85/0u/cV6FyG7UutRp - tdrNBmnz5vcvvvLqKwtXruyv0D9YHDwB+zE1NTU3O3v85Mmx8TETBFprUooQAcEgMeDM6crRR6uP - PD9dnjRsZdjKAEFpZA+o6OwL05/+9Udf+CsnkUYFoojonGs1GsPBIM+zl7/zne985zvb9fpBX+hN - HKLj60Vk0O8777c3NlrHTwTahFGktQYiYHKKEUkEZs5Upk6WZx4qP/7x2X/729e2Fnv9Zu5y+fv/ - +mMzD49HVaMAvLB3HkkLwLDft3k+GAxXV5ZXV1aWby1OPnAcIgIQsdFsFkn/frc7OTnhrA3CsOgu - 804APaECAFJw5Gx17uHKky8eERHnvAIkQ6SJPecCwp5ZtAFhKeRbq9XqdbuvvvbabTefOXAcIgJE - ZDAY7OzssPeDfl9YvBvdp2Q32YqAsFuCDqBGjRsh6N0eeGApGvZBaVMYoM65waDf7bQvXrx47dq1 - g7q698PhuoeM9/7tt9921rZazX6/590tN0YatS3iXovjTU+YAA1h0esvwrBrFDlnRTgZDLMs+9Y3 - v3l4dO8eDhcBIrK+vp5l2XAw6Pf71ubejw5A3K27Hz0RAMTRASBEiAQegEcVIkVHJYiIza2zdmun - vrWx0el2D+aq/kwcLgIAwFp7ZXExSZJOqzUYDLzbvTPMzW7q4uAD8Swe2AtbdplzrriPmNxsVBcR - Z+3Ozk671Vq6dm14ODyv23DoCBCRb3/rW0Vxfbfdtlm+e9va20NvXPQoeWYvwEVZzs3DFADAZlme - 52urq712+9Lbb2eHIPDwXhw6AgCg0Wx2Op00TR1znmf7D6Lf+wtGbZajbvY7JpbSJBn0e81Go76z - s7a+ftjsnwKHkYA8z9fW1pIk6TQaRe9DsQluC1wWs7//FJG91wHAWjvo97a2trudztLVq4N+/35f - xg+Hw0gAM7/2/e87a3u9XpZnu5XGt03/D/iSPMuYud/rtlqtzc1N94NqNA8Kh5EAEbl27Vqz1Wo2 - mztbW+yZmW+bcrwzAyOSmLnX7WS5TYfDXrebpumhvc/nYSQAAKy1b775ZqfT2a7X7a7s3hP4d4Ds - a3oC8Da3WZal6WAwqNfrm5ub+6uU75zUPyAcUgK896++9tpwONza3Gw1Gjbfs4X27YT907jvsYgk - ScosRNRqtdbX13v9/m2V+/d4+D8CDikBItJsNrc2N7utVquxk2fZvuTtXsfwzQf7t4aIZFnKwta7 - 9fX17Xrd3eoAH3gacj8OKQEAYK19+/LlPM9Xbtzo93rFwQyw78ACgN2K8lshzCBAStc3t+rb9W6n - c1vm/QMCfigw89WrV5Mk2drYaDZ2eoN+4WnRrZpgdHbBPj/ZOeeZ41LpxvVrjcbObfLnsOHwEgAA - 7XZ7eWWlPxzeuH69sdNgLppZYfdAo1ukUPHAe++801oP+v3VldXbjqc6hDjUBDDz62+84Z1bvnGj - vrVZuLICt9xFae8g4gLeOW+d0nr5+rVOu9VsNH5gl9bB4lATAAA3btzoDwZZmjZ2dkbn3r3nHMI9 - MDMSkdbe+9XllTRJGs3mBwT8uTAYDJauXlVaN+r1XrslIMWRfkWTPezpgALMAMDMnU67vr3VbLUG - w+FhVgBw+AkQkbcvXUKiTru9tbVVbIJReO49E+uLe5s7W9/c6nW7zXb7kCsAOPwEAMDa2loyHLJz - 1xcXu62233dExO0QyfM8T7PNjY00SepbWx8QcBcwHA7feustHYZra2vNZsO50X02b5FCu925ztpu - t7O+vjYYDIoU/8EO/gfiASCAmV+/eJGUSobDzbU1du4O0me3lTy3dntzq9VotFqtLEnu+2B/ZDwA - BADA2vr69uamiCzfuDHs90H2Tuu9+R7vHIskSbK6spIMh6tra2l+P3uNfkw8GATkef7m22+HYbi1 - udlo7BQHZd62Daxz1trmzs71a0v9fn9zc/NwpsBuw4NBAABcXVgolct5ni9cuTLK7t4agSjOGrx2 - dbFRr/f7/W6nc4Cj/eHxwBCwtrZmvQ+CYOXGjU63A6P0wM0IBDN3O5311dVkOOy029mht38KPBgE - FDW2l95+e3xiYtDrrV2/UUih3ZP+wTln83xrc2NxYQER19bXD2cNxHvxYBBQmJjf/MY3AmOsc1fe - ubyXZC+0cZZlwyRZvna92WhY5xqNxqHNQd6GB4OAAqurq+tra0qpjbW1Tqe997pzztm83WwsLV1F - olarNTiUNVh3xANDACJ677/xzW/GUeS9X15a2jNy8izLs3x9ZXV9dRUBlpaW+oe1COW9eGAIKPD6 - 669nea60XllZSZIEigzwYLDw7rtLiwv1er3f729tbz8o8gceIAKKoMJgMHjr7bfHJyaG/f6g2xVh - 9r7bade3t9fX1521m1tbw0MfAd2PB4aAvRMIv/ud7wRhODYx0Ww2vPNZnm+sbwx63Y2NjV6vt76x - cfgDcPvxwBBQnKCOiJtbWwsLC1Ec93t9Z22aDJuNnWazWd/e3tjcbLdae/LnUJWfvB8eGAKK5V8c - rvxHf/iH3jlEyLKs1+7YPF9ZXl5fX19bW8v3Lf8PCLj7iKIIAK4sLLzxxhtBGLKzw+Gw2+1eunRp - q16/rQD0gdAEDxgBeZ4bY5xzv/vP/3mjXmdmE4YXX3/9xvJy8p4C0AeCgMPVJ3xH0P4aCJEwDK21 - 7XYbRM48crbTaf+P//gfb29v292z9x8sPABSsrhVJI9aBEQpVZwzXty9shTHi4uLxRHCBz3SD/AB - PsAH+AAf4EfB/w8YWTS0D6wA9AAAAABJRU5ErkJggg== + + iVBORw0KGgoAAAANSUhEUgAAAIAAAACACAYAAADDPmHLAAAACXBIWXMAAA7EAAAOxAGVKw4bAAAg + AElEQVR4Ae2dB5jc9Xnn3+l9tvdVXxVUQSC66cI2LjE2BBsnsYOTOHf22bmL88R3yV2SS3x+cjxO + cey4BM5xbAc3cGxjbAwGBKIIIUBCBSFpd7XSapu2l+kz9/n+RoN5uCQuh2Bn0U+andnZmf/85//2 + 9/2+78/szDpzBc5cgTNX4MwVeJ1eAc/r6XsHQyE7d8uFHYma5IcvPv+8Nzc0NCx/ctczPdls5gs9 + h174wu7duwuZTOb1dEnM+3r6tmvWbfyoPxg43lSb/HgymdiUiMcTne2tG6dn5j5bKBa/USqVXk+X + w31X/0L/xj6fz3Srb2z6jbqG+r8JWslu+a1brLm52eZm5ywcDtu+5w9ZJBp7VyAQWJvP5/fr9Vo8 + toXOFOVvuoC5IBgMGqq+dvW69duCgYD/ogvPt7Vr1zrC1yRrHIHn5mbt+MCgZdOpzrm5ua+L6CHM + xeuBARa8CRAhFy1d9ofRWCx09sZ1ds3WaywcCrubx+uxJUuW2Hmbz7FoNGptHR1vSyQSIckD2sC8 + 3gV/eRa+DxCLxT21dQ0fWrd6tb35TW+yWCxmgWDAAn4I7PG4m5hgVVcXBPd7Gxsbt8gESPo9/H2h + rwXP4s1t7UsikUji8ssusdraWojqtVAw7PwCr9dnRXyCRCJuZ5+90Yzf29vb3yRtkMvlzjDAQuD+ + RE3t+eFQ0FpbW80QaB9qXapd0q3HpWLRcvmc1dXUOKbwBwJn19XVWZHndVvoa8FrAAh9tg9bn86k + HS0V6ZUKIjoqXhyBRshlC4aWsHgiYdMzs2vFAFoLPQLQd1zwDACNz/E4SS85gkr6JfGZbMbS3DIw + hpzBENHCos4Ons8t8fv9wdeDA/j6YACzpVLzXl9Z7RdLRefg5dJZS6UzVsyh5lEL/oDf1p21Gmbw + elH9SxUFvB7WgtcAqPkGEVNef5njSzBADpOQskwqbVgDpwf1mkUdHS78y2Zzy2USzpiABSAChHS1 + QcI+qXl5gfL682iELBpAoV4unzE/YZ8SRtFY1CWIsvncUkUCr4e14DVAoVAI1OLhl2N6/AAkvpAv + WCYH8fEBZOt9Xr/5/D6LhCMWJEnk9/mdCTiTB6h+EXCZHJw6F/Lp6+QLhRedv3Q265xAqoGKB5z6 + j8djYoIOqf8zDFD9DOBVVm9oeMQRXl9HsX0ewssZzBL+5TOKCLL4AqgGuIByAVrB16LXnmEAXYXq + XmE4AGeuYNICbkH4HAT3eEoWjvhtOjVHLOzFLJTzAjIX/qC/5UwYWN2Er5x9QlIsb392drZCf8vj + Corgfr/XqX2p+zx+QYGQIIofUCqWWs+YgMolrO77VklyRZpFVP3zkQ2UsycTEA75LYUP4PP5LZvP + WtbVALyNfO0FXyoXaRd0FBCLx1dLAwj0kSHpIylPzxH7F0rkBSgEYQ5C/iAXAaYgUQR/YBpgGL+X + QqFHTLDg14JmgLr6xsvEAEr9Kg9QzBfJ9U+bD0cviwkIquwL1cEAQGiP1dXWnMoYwhzFItWjhb8W + NgM0NLyFPIDz/GPRmOUKeUdoqf08ar+ABvDBIF6YQAyibGAiFgEj4AjfIuZZ6GvBYQJl7+XxQ8wE + OMAlGbz8s9ZcYMUCMo6TFyPbl8MUiPBW8vBfGgLGENXBAyQSSQyCW2c0QDVyv4gvm7946bJLhPiJ + IO0iaKGQc/fKC5Sw+qFY0uLRuDQ/OYK8ZYGD+7D/IIKMCJHlWVSN3/8XPecFqQEE+2pubbspAh7w + fb/2Hlu6bJlD+GSJ/0kFmcpCgWDIqX2fXMCitIHiA7MlizqhPSUkr3cpvy74teB8AEm41Dhh3rVt + rc3W0NjgsnvK9xeKOUrAaRI9ASMFAMEhuR/ie/AF0BwVHKDMRDAc6lLYuNDXgmMAOW6JZLLD6/O0 + X3P1VZasSbrwT5k/QJ8QHkJTCELbu3JvUQkhogLe5n6XM0jTCGYkuloO5EJfC44B5ASGo7Fr/Th0 + 7jEJHx/iXiAE9BPrB6C8Yv1iriDz76qDUgRKBEneyRpbPBK2QDjYxvtrzjBAFV4B8v9vVw0gHos7 + JhAjBEI+CE/CJ+i3oEsCQexTJoDUr/uWsIaSQA4fwCO6haJnV+HX/4VOecFpAPkAEPxSSXkMUIdU + vhignOHD5+VxyWkB5J/H4oE8voEwAlIJMiECh8os1Dc2v/EXuppV+OIFxwCEgQ2o88aaZNzaO9qd + lJPvgdBIv5jBh2zzrYUM0nPuEfmAQklJImoERAeqCIbpHejo6PzdpqYmhxhWh9FCTAwtOAYIhcOb + 5dC1NLcQ+2PnT6X1lPoVOLSs+MUE5VoPcFEI6552zqL8hJaWFmtqqLNwLFoHA4QrlcEzDCARmecr + HIleJV3e3tbm+v2K2PdSMU+ir2RZVL2W+gFE8zLhy/E/dCdXwGwAnlSr2FJueiXdRAmliKUBFuJa + cBqAIRDXCt/X2tJUpheUls0vlrD9BVR9HrXPc2IA/RQTUC2wHIwyNT4N00ToImqxdevWklImp5Cs + qZUGSKVSvLb8rvKBF8bPhcYAnlAovCFCGLd+3bpyXC+tzypQDHBtYLksvgCEhKiYfkwBoFAYQe5A + TV2y/DshYR19hIKPwQT1ShAt1JzAgmIAoN3NaICAagHSAlmInaMGUMQXyKRTJICyrjNYBHULqnvE + BdIE/KwhASRCyzWkndwCMALo4YaFnBFcULWAUDiyRoRtxXOXs5cm7av2rxSTQIpUAkXIImCQgo/U + rxfpVh2AEEHEV+dQllBQLWM5gKKRU0yUn8k3nmEAXdUqWMmamvN0mp0UdITvEwQ8TwMInT7lXL/Q + v1T+/AUqgiiBgl8tYzz2ylEsN4xKOwAKxV9gSggh4WSx1PRyBlh11jqYK+U0y9DACVdoqoLL86+e + 4oIyAXUNjdeor1+Ek2+vjp9wJGRRbjL4Rap+suv6J82fSWW55wHPwy+OoPIFAr6A0x6CiHOcU96k + 3IaSLVqyVJiCa6Lh0BONTU0PnL3lgnetWLnKdRb9q1d4nj+5oEwARaBLJMHq+9cSwXLUAOZSMgMp + vP0CzKFicNn7DzE3IKDikAsTCQPRDkESQFgG5/WrPxDeaHqp9x+LRDzZVPp2sgeLMyCNc+n0lU3N + LeeMDA0+Wy43u4+umh8LhgHw5pWwSXgJ+WrB9iG6DugpkEc4GjZ1/JSdOrqCAYaq6BOMBjATmgQi + egkXUGYQNY8qOkjwHlilSZjBqakpVy7mwMvC0cjiJH9T/+DY2JiaSn4dx/PZ6elpx3RVQ333ravp + bP+dcyVebxbyt5Hxb01NjU7Vq8JHXZ+sXqMmhTmGkJQqItA8AJkDqXw5hriHZA2J93EYlT2U1Ldp + qoh5GsUMlTDQ4/FtZIqIaYiERs0l4gnBy9ZUa6Ko6jWAiKPO3vbOzqWCfdVDmDaygFL/InYUMGge + J3ASCZ6bm4HaJavlNYKBgQNx4SKi755XMCjfQJVAvV+KgSihSX6FmEVMQWl5uZpKFGrqNQ5L4PUs + 19/0e7WtqncCVf0TBKy2rn6dqn8O+EFIJ2KIcIGAD9uuoRAiICkfXq9+AIV/KgMXZQLc62EC6Kdi + kfSB3q9kEHeNIn5l8XCx8gpl7UFISehYKJaW8lnOkFReVy33C4IBZIuRyAtl+9esWuUIKQJIovMQ + PxAOWDCgog+yjU8gpikDQAgDYQoJrsJAhwtwr4Ex+F3NIqz4yyS7Tr2FcjalfTIklzhAGBOxWS+u + tlX1DCAiSAP4A8GrGuvrraO9zRFPz8uWD/SfsCgmQqpaTaKSbkdx/kbiCIlH+0PMEsQWithpBfeS + kp0cHRM91SX0ErqWEm7mEFyjIpGPAzBhFCcz8UleV/+SF1bFwwXhA9AChhb3dKaBeSXx2KX6xQAa + +FDG//lcbkBSr/Yw6OvCP5V+gQdCYTIDUgNohwISXXAmwRkCaQ3Pi53FvA9uIE7kpTCFfI+m5iYb + HR2ljlC3dUvLxaOBQPD5sbHRd/f1du+encHnmOer6jWACIH0RyrXWRlAkU7tYCKQvPU52sE0DEIa + QRU+Zgbj7PEqfABJsLx6Bw7mIGIEJZCk9pU+FgNUTIDuPV5frvK7TInAIytXdgEgCVgGJ3NidHgN + x/rHzkWLK6c0r++rXgOIGIA76qCUs+Py/AX/UsJnZnbGzQQW8TUb0CV5hA7Gp5MvUIIhyhaBey9M + QUIojjmR/y/GmiSuF9O81AmEmin5Fo7xgJJraQKpmE0lY2mD2XRmaX5y0v1tvv+oegYQISjkEPcp + pAtYZ2eHs/F6XgQR0WUO9DetIuGftESRpE+5ECwlqKpgWRsIIVTCy3cMMDXtNIbzJ8RgvIaPmVak + oXUKf+heqzyAEkbuNVPT8ZmJ6mCAqjcBjhB+/7KC0wQAP/DeRTzdopEov2vmPyTmd5q+3WO9xxWL + MBMChDqi8TdVBJ1K4KccPL3eT11Aj7V0TELAk158Cb1Hv4s5HIO5yMLnsoOFbF4Qg6pYVc8AZSL4 + VvghlqZ+SSqdQ8fllxPoIGHIrcI8mQbZ+zQTQ0RoZff0WKlfibZ+pObm3CwBqXQxiUJBGOBFcqJB + RnSMyqowgT5XzqKYAdzBnD63GtaCMAHY6VW63CKeiFmhluy3lh/CK/mjzIDTECR7RCDtD+Q6hVxM + jxvAQaTKK0Ml1TUcgLBIuw5UoeiJihqRFnj5UgTCbdIZi5f/cR7+XvUaoGyfvesE89JFVweQiFhx + 1FTSJVnvNEBBFSBWBNMwl5p1Klx4wKKAIEwOVbbQRRFoC0mzWsjlEEJod530WaxeaZLKEkOJ6GIm + +RzsOAKgJHNYELRqWD/9JtVwtv/GOeLRLxXBhQMQFEw7gkg1a4loYgqZBZfC5X6OxE1YOQIkXAR0 + JkP30h4wks/Z/7K5OEVrdzAdl9d281HOLxCT6P1CHk1MTDCObtjmyEXw4c+8qC/+jXOeL09XPQOI + 0ChiB9uSs8aUT3dty7Y+ZTNIpHr/EfRyXM+rQwEBRPQypoMplcsxFCWoazgME2lHERFWIaWYBgK7 + XICYi7cN85nD8hH0eXqdJF9l4WlwBzIzoI6+4wsE3XnM9x9VzwBc4AAEYcoLCZxTTpiYQskcTfwC + sOEQwRoE6Qw5FFQhyGkEJYKoBQSoE4joahGXeneq/5R91x3OomMXZ1ZEYiT8lDlwWmRuLmVpXqL3 + Dg8NPEoC6eH5TvjK+VU9A0D4sKgjj162WNLoJBeClx0xhYTlQhAPRDyIL3Wgd8ES/K6CkFBEQUI+ + VQOFJawsRQ+YCscAFceOCuD9svWaPTjL/SQYAo2dGR87Odp/tPd9vK4EQ7lpo5XjzNf7qmcALmxc + Xrk0gFS9kDtiBkm4sH0iuFS3ikFqB5OJUPpXMX8ANe6GRaD+SfiLFwj7MCGQW9qEQ7ib1LyWpF7p + YxjtmyMjI6kTJ06w3dyQTZHznxoftbmpyT9JJpOTHOZDfn/gN92b5vmPqmcAiFMr8RTBRTT1Auhe + ywvhRfQAv+smJqjE68L7RcNMAoEJ5Pjl0R5iBkUQldcoW+hwA6USVoF5gziBeZ5jykgfxP/E4Og4 + GoB9B9ACCTqKli9f/pmOjo4RkMafgQlS7iTm+Y+qZwAkrZGL7UTVEbOi7sUQSLNKvFL1lZwATyD9 + svs4fYBF1AWs5hF58goZK5tKSdrZPwgt4ZjJFZukNeRL0EP4/rqm1j+VFZmeHIP46iTusEWLFmnX + MVdnyGbS4/Oc9u70yqJSDWf6b5xjLJFolU0PyytHghWPS4LlCzibrXo/JiGbweHj+YoaFyRMEj5D + PkBg0Jpkkk8oY/9kQrR0r1Qw0q9JkhN6rqa27paa2trb8Cs8g8eOkm0MWQ0VQRWEhDuUppBrQW5h + RK+f76vqNQCtYMtlqFXFk/1OEeMrJhdKSNIvoosR0jDGDLZaTpv2BxCuLwcYQCqezSUBdDBNBMKV + q4kqG2NOCAPB/+uxGxsr4pIZvAYn8I7nn3v2H4QnqAeEoiKQQCn6zEoSilDw5Hwnvs6v6hmArN56 + iZwkMcwtiEOnaEDAjxB2PnjKDKi2L699ijKt9goWQ8h3qKutowOYiSD4C4KPVaRfxBfjCGGEY7dO + F0uwb0bN3nxyePAWCP+A1L6QwZJ+R3gYJJ0pvw/Y2BkG0EU73cvr958vlSvCCQ0US8SY7ROBIErE + 4LXzN0m5mETpWRF+HCYQQ7B9vKBczldIURSagcDSEgrxHnrkURxEkkL4CjiMb5XpkAYgv/BWwsJB + CH+HbL6DhvO50jSVMFTfGee0Khig6n0APPPluuDy4hUJjIHjE9hDvoCIrpkAyuQXS9IKRQAbY9jq + Ouf9q+ij4pGIPj4+bpMwhogoop+g56+pod49hshvI+yLwgRzqP+GaCRcq9ExulWygXqfPlPOJCsL + Q07rwXxfVc0A2P8IN38Z2uVxiGB59FLHQTRALpt3Nl9g0Dk8ehG7AYhYnEGSSaRfYaGkXSie/v5+ + GxwcdMwgaaegY0sXddgQz2ECop2dnQdxLH8XjvI1NjCAEodPdl/5BzmcL97wQXiup5I7OMMAp/cK + dMIAjliSvOP9x60Bp+zo0aO2Zs0ay3vylqiJ0wRK8YcKoGYEq+1bk0RFfDkB8gtOnjxpTzz5lL1w + +LCNDAwQMkp3eHEsow70KSJj8zuR6rtFdEm+7L7UvnwFfbb8A2mQWXUWmeeICk3VsKpaA0DALbLL + ctZmKcT0AwEXURUFiDBSz/zZcqR3lSNQjB+PJ12voIiWz8/a0NCQ/cvd91gfTCPwqBxDL5tIKEeg + 6p52Egrx+FTvwYshn46nz5Dki4nEGAMwT4rEEOdz8AwDnCb2F8Ertw2bz7tJH6PBz8rWH+rpRQuc + gBhRW79+A0QPQCQg4h4/ziHMgCQr5Kt4+j09Pfad7//Aeo4csTQAUk0Sm4EJBCxRNbG+oZFewVnz + JtlIis9RaOjCSo4jBtJNxNfxNFkMU2EDQ8MyAXvlE1TDqjoNIPssyW5obAozEOJa2drJ0WHXpOnF + qZuGII8+vsPOP/98GKADXyDkEj5ByrMiniSzr6/Pntq1yx559HE7cfy4PHujt8AKgECV7g3zHmYN + ubBSzDY9PmYZQky1gclnUBpZvYE6F2mBVXQjSSOoJ3Hvvv1yRp85owFOE/vrouvik427HoKGs6hg + Sbbr+A2R7UNKT46N2ze+8U376Ec/4oil5g8RUksqW6r6gZ88YKO8Lqxcger8hIoNeP3CAMqJlMoX + w8izV4FpcrJs45VA0msqTLBly5Zy0onz0uuJQEYZOrnnjBN4GhlAFx9n7q36CDV/SiNUbHE8iXMG + E0xMTtgwNlyxupBCkkgxj7J2Bw4csIETA8wNlokQIISogce19PxLjesmBtCSY6clVa8kjxJMw8ND + jgl1HrvQJJL8rq4uF3EAGv04BSKswk9Lyu4A8/RHeVzmPD25f+20pGqVe29safkMHUEJlXbzDHhU + G5ZscpLMnnz4Sy66CE+9nKMvO4NlDSAm0DEOHTpE4wj2nfcLTSxGyALqEPPoffqMSgt4xdkTA+gz + lG/IZNK0gzVQPw44jbNv/4FMb3f350gyfWIQDaM6QzWsqmMAFXwSifiihqbW/yFiaQl+FWEOQLK2 + 3lX9YlTnbrzxBleZkyRKUismQK+Xx66JIcePHwMcqqpt2bEs4Li5cG6ajiKlldEsUuU6hu6VbPKh + TWYJ+VzPAJ+vdHN/b/cnyRtch2/x/XH8hXLmUZ80/1f1MQDxezwRv5pJ3jeFeCzIt5C8btoXUqd9 + gbtWLLerr77aEUz2XDctxfMipjSAbueee64jtJhATqJGxIl4ApaMT0zBOGVsgGy7Xi9GkgaZI9aX + 5qmpq7WZqckMfsGbh08OpQUNq7ZVdVEANCQcC14kYsoeh3HgArRqyVlTWVdFnTqSQRlUdSVZUyGK + tIAYQJ686vZS7d3d3bZ27VqXD3jqqads+/ZH8QFqaBiZs57ePlu2ZJHzG0R8mQU1m84wY2h0aMCF + n/GEZ2pi/GT6pRqm8nnVcF91DKCxXYlk/cV06WKLqfARbqPA8cB1o8GT6k8tBKw4fRUVLinW0r0c + QUmy1P1dd91FFZDkEU6jkkBsNef2FJRfoNKytIMIX4kKZBoaGuttdnKcrHAeExCtC/gj0YAvM4er + 6D6jmn5UHQOA4wsmkrUXzY6xAyh9/cGEegFo2edWypWbQVWjJ0fgEjeSzMpNhKnAxcQgt956qx07 + 1s+zZUSvIkU9ryQQI+dslChC7d9NbCWnJabRscKhgMVrUP8wTSIYBRYQvoIJE/e4F1XZj6rDAzCh + 88OZuYw3NakxsFmbnkISuegaEKVEjTz+rpVdLrkjgmm9NCYvm47y8OcndzzpnMYEef01a1bZpZde + wpTRRQ4sMoQnH6R55ASZRXn+Lkrg86QVNGZUE0d1LOkfnyf8e67k6D6tun5UhQYIe5O2PHjRDaR0 + b+cG7rYV1b+ba18ikQOUC1OQTk/jC5QxgPLejx075lA+stkVyZUzqLKvKnnKG2hFSQ2rQCSfYMWK + FXbWWWfZPff80GULp5FwYQL6OJbeK+ZyxaOREeoGMxYlkpApCgeTW0PBiRs43LfdQavox7yPAmTf + 39/w1S1Bb+S+Zv/KEOg+qw922mjuqMWaCNUg3sTMgJPKOMygSWFyCC+88EJn7xXyyYOX6pcmkA8g + NX/vvffaE088gfkIkAZOsEHEYvYJaHUhohI7IyMnyQBOyjjYGNXCZvYQ0PsnsP19R49bDUyk0NPn + DVq4BBbQgu/K5zP7/L7gAW1No1U81Ys4n/lh3jMAF89zQex9Tyd9rbGQL2ozhWELFpC8ldzHg/T5 + Ab71pi0WTrDdm/b7C7vU7c6dO+0w5V1pAkltxQkU8Xfs2GF33323yxROUTlUbN8J9EuOntS6GEjO + 3pHD3TAAap7nlNxRmXlwcIjwrx6mibHRNLjDDNqp53rrKJzj7Yxv/NVIMhwr+nP35QsZJojN/7Bw + 3psAvyd4Hfn1ZmdrLWQtQQov3gheeAM9AIM4gTSAhGssFkT6gzGnqqXyRWhQPE7l9/b2Og0g4joV + jkQrxSsgqMz4MMTde+CgU/FiAkm6VH6YjScUTtY0NbsMozKAchTlWrS3xYGVMZ7uwGUWJf0ca6i1 + lnyXrchf/AfbI5/bkc6m7pyaHZ3Pwu/ObV5rAJ/H77uo7f3bujovjBfSxGoQJuALOZvu08yGhlEL + JRkTFweNG4xT1AlbChSQ6v5K/MpxkzTrpthfdl/3et5582gLJX0ECQPsiR8BjAx/QrmCKRBE6vzR + WPgli3EM5fSJMegjbG1NWmtbiy1tXW2ZpxdZKQNmIBGx7Byv8eUtFmho7pt95ssT0+AT5vmadxpA + fXzYUZdpa4wu/9jGtutanE2FVWVvRdicP2NBprXJQfOGSf7gnFnRr40eGdA0YYMnxyyG9CoxpAZR + +eqSdDdDgAfK0zuYKP6AxrxJW0zDBC8cPGjDaAfNDxCAVJpAjp/wBSUYQkzT2FRvV191BR1CGfOM + tNp0M3sK9NEhPIwTWoNpIg0dnq3ZFPCXs4/znP4O5zCvzlHEDwaiVp/obH/j8j/8RAwHa+LoqAN6 + ektwQZHUr5emDkq8IhyAQCSasa411OhR29OkaYXlnwXlK5y/Jn8J4uV1c+DcjB/AI3n3Xt7qRsc2 + d4IbABc4xWCnUWy8GkSZ9+fQxTXsPayhwgnQxkITda1cYZdefIWdGOyzdE3QhhuyNjeC1glyXlnS + zBGY0WN12XxKHCBk6rxe804DyMgGA2HPxra3/qgxsMSXHklZsiVkmSm8+JR69Yj58/TtM5pXaJ8S + F10EqqtNWHNdG8Oi623v8y+gsmkBl9pG1kX8YIRhTtyropcC+KEsoPYQcGqdeD+KP1Bb30L9QPsL + cVyk388cgZp6JtAxV662pt46OxbbtVuvxaGUtolYKUKzaYh5hBFqEtGQzY1RWi4QcXg53+xcF5Tf + N6+pz8nNu0SQEH4rE5d+fGPy7RvI7FpNM6BO+m3j9Y1utGvRS1ePPw2fKCsnLcAewWQDa5FUOXWN + ZO1WLltKuzZSTvNekdxAoeC39JwXx5Em0BRInhLbywdqUfUN5AGacPhq0RaYHV4rXIAf/yJfxE/Q + ptMqEWN6VB/QfoLsEuLCO+1MVhTkHI5UE2qsIWyRupBlpzNEJPVWE25793wnvs5vXmkAxfxBb2zN + xZ0f+IR5yOoVmOg1BqYPBI7Hyx49kTmSPZRzMQupBImeZMymitO2eFEbRZoGq0dKZe+lwkXEPfsO + Oi0RwXGkP5i8ET2DWer5Wap7POMNYB7gIjmWUUPS85IHEEGBDMwx4RgghDQXkOo0Tug6tqLTOSrr + qM/gVCxS47fRQtZijbwuR4HK0B70H1zZ9qGPjc703pPJzTyeyWl4FYwruzXP1vxiAI83eF3Xf3sw + Hm7wZNKjVppGlc6gynHIZsjgeSI4fy3E1g39VowNg/bFQStGaOBopmq3whrrm13hJsXAp4GBfpsA + ytV3dNBqrMGKmJDCDFPDJ9kWNtVq/jyOHWPBBP/2alPJoJhByRsNluCG9vDnopZbxHFiOx3mQN3D + YhhpH7WXBQCaNq722olnwSUen8B8nNIIIIyaoivC71796ceemPzSn/aNPPtnJyeOzzPSl09n3oSB + uqhrm7d+bXPr9RcK4lsg/EqPkYbBUYt1eqzz0qJd/h+a7WSh2zZdvdiitQEbGB0ihdtiK5d32QVb + LnJVPjWEqBVcpeBDJILGTk5bw+RK8/asNM84iaLZpRbMUw0sMRImTxSBlvEQQXhzvC+LGShQXMqH + YZCI+cLY9qka87WmbHCm2zafs8F9hjqGJ2doFsYhlYOZnS3Y4P4ZS01QmvQCG0thNnAGo37MRuT8 + KzhOzdHRXffm0RTzbc0LBpAy7YhvuP5da//Xnwf92PzpQUtPF3H8tN0bA5lXp275t5QAABjsSURB + VOzGP1tvdW1R69rYadEEc3nzc/bM7r12xaUX2zlnn/MiMFPHUtYvRN//oe4XaPSYsGT/OivM0To2 + GbV8ho2hSOG6DSOReDFLefNISCP7wfv1T02FngKaAZoGBtttrHG37T+0395w8cUuqRRiPvXUzCSf + xQxCHM4Tz81aajTDIAra1HEOSSVYqJYNLDArNZGOiyayx8eHpg7vOMMAL7sCKGC7MPn+xmvWfGRn + NFjLjlzC6YHEHZnBaiNFzSW78VYkOFyexqWOnkwhZffe/xNb0rnIzlq9hli+xeX7K4cuq2mPdfd1 + W//RIUuMdFl+MmdZOvb9efr92CzKJzRRCF+Am5chEMWsUEUVn1gDqDVfGPkQL2C6a4pLbDB7yPpH + e+3czeeQq1DhyQcQdJYoAFzhHFnGAQFSqA3glGoA5ezwrPkjQbSMzzrjm950dPapbflSulc4RO05 + MB9wg5VvXLl2r/p9XWCJZ1X7JffXxFqRRS5MaYqLjuov4YU3jdlbPtFKgoX+O0yCiOLn4j393E6b + GJ+icreK5g3y8iRqnNTq7CGYlrxzNyRST0hVAyQpBz1INUUaZfb8gRLaAPeQm4vf8Qkk0WIgNziK + iKCcK+DoJ2ttUc/bbdfO/XbrX32GrqEhVziKRINIetFWbY1axyYqi0maRwhLQ3GGFzWRsj4xzjEZ + P+ep9Vy/7BN3dTSvbQwGIs7xdCf6Gv94TRlAXbwbm97yH9sa1mwq0LiZorav5E02N2OJtdP2se9f + ba2ryxtAqJonTP53fnCn7dtz2AE1WppaXOgnglUILzWuDJ7SuTIFYgTn1LFdLJ4FxC1vGOEncROi + mBRAAyDMbnS8cIVaHtK9RH4ch82hSDA5cwADhQJxayqutUNHjtjOJ/e4ZFITu5RniSySHQHb8K5a + S7aKachRzIIomgQ7iLbJ8ViNJk01XXUXLLnp3rqadud+vsa0dx//mjJAyJ9s3bTorZ8J+ABbFrn4 + DHgKlCB0pmiX3bwWctFyDTRLBJadfXj7I9j0MTs5NGldy1ZQ+o05KXzphRTBFW6JJ7QZ9NDEEITn + H8kcD3n6EqGfmMWn5FAM9R9G4jmAtL+f0E57CPhhIrYPgIEAmc4xdCrExDBsOixjbeOXo6eCdu99 + D1BO3gHDemzF6i4kP2At68PWtVUdw/gbhH1F4OolulIK+B3ZyXJlcIXvys1rG7feKhMyH9ZrxgA+ + X9Bz/Vl/8aOaaIuT0sx0imyc32ZGSbs2B23jlUud2pdqVz7+oe332779++jg7YVYPkK+BgfQVK3f + aYBTV7NiV3PyuEV0kVf/4S8Ni5B2gB9c8sZPdpBt4PgbT2B25NG7Un5QJgCDxL2YR76BmACRt/xY + AYf1fJuam7B/vuPr9uDDPyb9PG6RGGo95LHF54es4zw6lvEJQvxOdhqTQIIwzXtnss6MbYpc/1/4 + 3m983TKAiHJR52/81pK6zZuExZ8ZBpBZmqbNWzt7YBauazbF8q4Ygx3evusBe+FQjx07cRKmyFgH + 4AyZBN2cikfqdUzu3JrOMuwhMwp0BKkTYSGmS9xwrxSvfqeORJiHP8DvKhIJ5y/i696jJI80AmYC + 2lkuReIHhzBcE7CaZah0qomFOQ9zgfP2g3vu4SNwBsEciFGDca+tfwcI4sVlc6JqpT+Eg8l5pCfY + xRxGiESTtrnpRqGHOstn/Nr9fE00QMgfbbiw8+bPB6jrnxw4jngAwGig5ap/wjo3h23VNSRuIIwm + eAydHLDuQ/02APHz1ADk2HV0tNP9u85dcL1OTKAFXfkBwUppm8qN2PZtT1tnW7sjpgv3JIosad9g + DDuvX0n4uL0GeU6IYj+mwYtWkJbQKoeJeBFiFMCgkXrCU6a/jI0MUkuYYWexjH3pK7eT/k2SCGJa + GYWoaH3ALvwAGIGmILUKQKQ4hN4gB8SMTfXTOMKx1je9KX750g/ez0dols1rtl51BlDC5w1LP3hX + OJDw9o/uox4/ZYFYwY4eO2LB2pxtvDlBClbERAq5PbLtMTuwr5vcvjSCWUdLM63YbNkKtFt/rxBf + qjpHcUh1/ZmplD30w13Y4JJtXLEBrVImvFS5bLtAxF7Uu/wKEcPxj+y/HiC48gdkMrT4SGc68mgB + aahoA6YhlAWGdvKOp3fuWMGY+d6nntpvt932Bfd6YQ1iOI4dG2N2xYfbnJ8xN1Wymna/Y4QSEcLE + sWE0TcA2tb1t9dLa86QJXrP1qjNAW3zt9Rd03nzZyHCvecn0JWpjNjo3YFOzw3bp73RaQxcUYink + e/hhiP/8YTuwd7cN9A86grcwlWvDhg0vEl9EKzMCPQIZiD89a9/79v02eqhgzT1vsIG7myw3xSwg + 9YAqjETCnYrHU1fuXtSV1y7VL3MhJsPbc48dE/KLQkE+xDmOVKt5DXmKybGPplOp7icf275VO5Ie + lInqO+Y0hrSQP+S1RecH7Mr/3GEJNML4Uew/ZiccJUmEUzjVf9Jq/G32q+v/6m2bO67/i3Jxq8yo + fNqrtl5VBgj74rEPbP7SHZDAJoYZ5JAEPEEMnvA22ZvevdXWXAW4kjMS8Q+/0G1P7nrKHtv+8JcO + PX/w3bhi1PuDTOVqcqGfVLKWCJctMRa+OGb9J4/ZF//PP1qBfb2Cj1zo7G4pOEsRCdwg+X4RykvY + h+uAviAcJPmjvYTERPiVVlD2T/90VTgu1gFqlyMKXqZf8AuMKWNjJ9KZtBsESb/g4YHj3f+Uoiz9 + 4LZtLjEkhsFjgNCkpLvydsXvN5ITAIxKijgIA0RIFJVmmG08Q+aQtPOVnR/6o5bEyvdWtJk+6dVa + ryoDXLX4I//k84VC00zqakyQ0oUBJodTFls5bpvfg0o/pXZ7acl6cNsjtvvZZ79yvK/vFiT3R6rB + r1q22LVuVwCekjStTAG4V37a7vvxNlsMuKN99hwIzfjXUWb3nMhbahygJ/klUVb23ofXr00k0MZw + ghNvJ/ViDLFGxf7LV1A5WPsNl4lTNhGjYyM7KxNA1Io2OTn6O9n09OgLR3pt9+7nbGp6yk0b03GU + aQzUzdlV/7XOlr0BHCGfKzhbtC5oecArAfoPg76Y3bjuf395VeNll4gBX831qjFATbj1Decsuv6d + 2qAhPTYJkNMsPZW1CEDOy97Xxe9l6mte3/7nCfe6X9i5f++e9+vCA9S8up7izrJly10fnyMTz2tX + UNncFL16e545aOOjk9YcbbPhZyCYb8rGD5RsuofK3TB1/QzHP6XG8xmkXwUnDiQnTwAx+Qu+sKSf + mwgPXwScMyiCSBUgvXEe83B45PizSjSVFziDmXRmZGjgokxqtnTfTx5k/sDzDnSqwdJayYaIJRbl + 7eIP1tjGd4AnACcEH6LRAKgwcNpDpjLma/Rds+zDP2xJrGpXguzVWqf9k2TbQiTEb1h3690CXAwf + 7MEZAvaVVCLG7Nxfq7OWVZrTK2kriPD29J5nJ/bveeaNADaLssMrV635s43U4pcvX+5m8Ygp9Lxw + eyL++MCM3fOdbbYkudKO3JGkmIS3PZhG0hkPg1rRlj/aIVyjXb1IP4ofCkBLmRHUQB5IWX6ORlPS + uiK+qwEoX0SOX6pfm07K9gejMBISnErPbK+oa42bnZmmkfTI0UMjgwPvU9/ATx562A2qUhexlnIV + tLQTFXhs87vqbcvN7WiACN8XpuQ7FAl5hXRuiq9K3LDmLx/riK0Pq17waqzTzgDi5os63/+Z9sS6 + 5PTAOMUR0DNciLrOkC3ZUmvr3qbOHjlWVNSAZz/40CN25NAL1w2eGBoXkesbGs6mYXO9EDia9qHl + MntS5Th0c9jRO++8x5bUddnk3V2kXekS8o5AUBw7tEokrn3/sP2oapkYJYMk5fId/IRmRVS8iC+J + x/WgPkByCGJ7SQfqWE4jiHF4v2L8LB59JN+4q0IctZPr3IU23rNnz1cGjvd+7sSJQfv2d75HiKi8 + BSNs8AgC9DSIyPG6gJ3z9ibrupgwsZ6q5iQFJJgwi+bTdaiPLFpycfst3xVI5dVYp50B+ELnXL7s + d35bNf7MTBqPmFQpV7pxU8k2vQcVQFZc/6YZyrDt4UeRpBf+5Pnnnn9cF1WJnsaW1q8VceFlczW6 + xb0aDSABkS19YgdgjSxzfp48F0llMGRm3OZGPSB0wPfj5Pl5bZCEjtv6j28rydUWMZEafuE8stOk + e0H7aM6QiB3Ae1cCSEWh1ARqAPK592AeYo1UIlOFY/6CvMrKgqNOvUbJoEMHD39obOT49u7ebvvx + jx9w29eKCRRyKmGkc65t9dvFvw4oBUaTzS9gknJcG6kb+RtL6jdfu7LhDb8iATjd67QyANLvR/Xf + q685erwfQiBF1NETLUFrXR+1aA3QbS687Pgzu3fbM7ueenznYzv/XJs56ssDz76Y4c9rx0HsaqJH + hfi6aP4I5VdKsc8fesGa+i50djU7zrzfYwx2Gqf1exCwJoT2cxx3L+lX0gdzgC1waJ65cQpQgDnk + BzrNoAwg0YayhooOMvxN5lghnZI7Sg3PjKcfke/x04XYnlr6LjMzs6Xuw0euTc9MH3v2uefsEeYN + uHFynKtQzBpT7wXZ1LC8ZJ2bYvg+XvCK2siKrW5HNF1W3cdxu3LZh77k9ypfeXrXaWWAze03fjIR + am462rOHEp/UbsB51c1rfNaxrM4RX5Led+y43Xf/PbNPPPbYG2niQEFomFPSs2rd+q+qP6+trdWu + e8t1Zc+a6+HlYhWp6t39g/ssOdFl44eox6dQw0NoGT4nh1OlEC6DapdaV44eujoP3CXmIW6Wv2UF + NxOIh+N5YZY8qGONl82l8QvSsBvmQVDvAP6KP0nvwMS0FScCnx/N9L+MKj9lAn2f0dGJVG9PzwW5 + THp6+6NPONOmnUxmwToQPjJhBI3mmUGjlP0K+SDqOsphziZ6hl2zSm2oo+4dZ33ij1/2Qa/4r6eF + ASRH9ZHFZ12x9Lc/lhMgMjsHIVB1SIDPn7P2tWzsKIJwsaT673/wh/bwA49sRVLYmBkJoGOnY9Gi + a0PB4LI1q1ba1jdudc9JwnTskrdA0qXfHr73GZv9yTJQPly8tFQ18iM17uw4zh9c4EN6JWVOtsnE + iAmFD5joQ/pnym3iKASncaQRdIwC8XqeiqTeLxtfolQdiGI6EtnhbZO3b58r/vstX3JmSQoN9B45 + /IapyYncP371DnwVNpbCjM0xeSSDxpscA+6+CMbD6XTpRu707bSj6Sh4RuER1jRe/vsdNRs4u9O3 + TgsDhPwx73s3ffaHQOFA5BykgxfbSc08k52x9rP9VtPJvrx401KNO5/aaT/+0X23zs2mHtfXFAMA + 7/Zg+29vJ+X79ne83S6/7HJ3BcQwukyjY6P22U9/2RbVdBkIbEc0Vf587B/GHnKARiC34NzK+km6 + sel+zsFl2/jGWQg/O5pFGTAxTM+jJmSHsdJOY0yPMmuAvH7F9nuWjdmR4AP21Yf+6ivPdW8vSZX/ + rKXX0Ji6u7/v6DvVXfzpz32R78xn4Au4eQPUqcIt4A3qOEfOSUkp5R0cw/E4TV7D5w1FVjVcXv7y + P+sDf8m/v+IMEPXV26bGd/xxMtSy5NjJPdg2sl6zJD0sbfFGry25GAQNhRihb7u7j1JTf+xo/9ET + H3/p+eP1/wpbwHRs2XKunXfueW4XcP1dF1ANH7fd9jXUaMqaBs533rpseCGL7WZQZAD77YegMiNQ + HFUOOoe6vzxx5f/TED+N4ycTIXYSQWQWgnENkwIaRj6ggEZx/gKHCLEjUTE+at1Dz9j+7if/emKO + UbD8+1lLzCNCM5Ty7qH+Yx85CYLoLz/1N47A+u7qW0g2M/F0JX4R16OclnZnBCaCzamUu2bVhFr+ + 5Gd91v/P319RBogwyGFz9IauzW3v/O+p1Li90PMoNXAuFlO7M74RW3Q5M3XXsa0rEqqdNnc8vtO2 + Pbjt1xn2pG/uFqPfvLX1DZ/toPlSUzjVgaMLJoIq7v/U3/6d9R8fsDe/5TJbfEufJS7vJXYXyofI + wMNYN3IvTnVDYTVrStrTU2rdIhwEqatys9Ss6gFy9gQFEwNFgXIFSPTMjgMa0ROsQIx5RM0QqCHF + LMDB7+DN94uwP++SxlLjKU2mf3fi2NFPaebAJ2/9a0rHM5wkfId5alrjtxVv5LwJBaWtHIoJ9JKf + XgSt+tDiS2PhBupY5RrJz/vZP+/rXlEG6AxuRgWHv1ATafEfHtqBMzOD1JL24qI3AJlat7XZxeJK + nuwG0fvYo9sHx0bHH6mcrC5ua3vHTczubV+9Grwfs370nC6KJPVbd/2L9Rw9am9729Vujv+unU/b + 4ZFnrBBgRHtQ1R7UKMyl9+QI7eTFiwlOds/Y4MFhGA+1Dw7Qw+vCgE+UkMowZSqXytvcJBnFiSww + sVP7B6KOYw1eq13hsaGRgVLfsb7f+3lUf+W7VO7FBBo1y14EHzs52P91jaf9h9tvJ/IBMQSjdV0R + s/olfqvvolbA+dIWSS4ChuA76F8y3+Zti53Vop7J07FeUQboCl5yWciTvCpI0mN5+CLbVHsDFS+y + XtTIz7qywxqXJRxxunt67fEdO+xIz+GXqzdfe+eiv13c2c68nkshqEJ1MolEQzt2PmV79u63m264 + HkDouD337F5webts975nbCZ4DOwdHj/EVe5eXnVBhR4eF8k/eNAMAmae7KYFfGoGRiiHfjnAGfEm + AKUwTR5vfw5c/9x4BrNCrr6BiaSLBBYFXXyw91vTU9N9ZR/kFyeD3qdexGN9fe+dGB25v+/4kH32 + c18g/KOBlc/qujpiXdfhrEL8HIkmPyjl/DRNp9QUJlMnrTGycq3/NCWGXlEGGMofug5L6wjnoWeP + MIhCR9bqiHm3vHOp42h5wI88+jgqlc2Wpyb/pXI5JbWNzc03N9TXNl133ZvdRk76m4ivMPGOb33b + rr7iMuvv67OHfrKtuH/fwe/te27/4oOHD1wm9FA2A/EoB1fUP4d7cQUijImh5TvKYMd4Uy0OYUXy + gYprw2eSUSrSOG1DjSKATQ4lKVW3MXP4eC57YrT3P1WKPy8e9Od8IOIrKtD72ceg2HP40HVMF3u8 + j/L2F29DE4Bw0jCKzi1Bu/BPaHJdRdWTCqkXrRkEKxnzsiFVLHLB6aoPKAh5xVa2NPfZjuDZHwPh + 6wvEtBN31KZp8WpvjVkYG6sLceD5g27UCqNZsoxyH9YEDi1CP9+5Wy742/OZ3qkePNlIQazYpdM+ + 8/kv2pWXvcGe3fXU5B133HEjuL+na+trR5V+BR94LFtM3eP3hq9TdbFQmEWlwwh0+FARcsfWFrGe + GECNNnr+WghLfVQKCU39nqhFuMCeqYilaRwtnAKOCDYeWwThwmk7cOyZP+of7R4Wc/yyS++tvB9N + kDvafeSapV0rH+k5Vtr82S/8vX38Dz7uBlepMbX0gXE7fJffJiliRWtpak0xki7u3+LtPz1ZwVc0 + xhzOvzC1N/WDz9MIcV5ny4ZlwThDHBI5Gjs24PFIFXvsm3d9x0aGR4Whe3B0ZPgrYgo9v7xr1Xu3 + Xrv15hveeT3OGV48sdHAwKDd9d3v2zVXXm733nPPc9/85jc3kjXcz/VMqRdghhyC6DJW6r032V76 + SCjKSBHUvb9E5w8oY4WCav5oXs0WMRuOWGDtoPWkn8rsOvajPc8df2R0X9+OMAml0IZNa1H5JKlI + ChXzpHzbccKWnLTH9vz44fv3fu2DY5Ou9P/L0v//eR/MkGMjin8OhyNXpzOFzr37dtr6detdC3qc + 7GhsGZD2MCCS51PWvjVgg1PP1/b07/1UOv3KD6J8RRlA35Qc2uzx6T1fHpw4tGfDkqtualkdtLXX + EKyz9jy51x7d+SSbNBwrkeb9zWO9PX0iPpO8fJdedvnDH/7dD4ZEfFXP+kDXfP62L9nWq660b9xx + x19897vffTfM4tSFBkZrnEtZslDdXnJ4wfSXg42Za4Otc82xAIMiayNWS8q5ZX3COs6PWX96b//3 + tn39xieeevQDPT3dXxg5OfS58ZnhW32J7LlbVl29St09MQo1ssnxjrztOvzQ/dsPfP8tw6MDuV/W + 9rsv/bIf+r46bxzK7Mz01Fdq6uqWzczlN+548knKylO2squL6aNoy+CcrdnaaBnvsPX274139x78 + n3NkEl/p9YozgHQ35RUbz/Y9788G/qk+mey9/4EfTV7x5otO/P2nbzswk539LtM3futYz5Gnp3Fy + dEFWrV5z883vvfk9nTh/Cpv6j584eg/A+8suuehPf3TPD265884774UITgfLKVRE8FKbLO98anZ8 + ajI19jn+/q0V7euPx1t9oYaOZDraEDk2/MLMHXc+cPtNRwb27VequXIRIUTJn0re1Z66KJVsCs7m + Z/x9EyeyX+k/PPLx+w7f/smRiX4AP6iFV3CJ+GIoHZc8QYGRtHcx2u4JyibxYCiSWLtmdXh2bpqG + 5ZQnTpKof/gQzvIB6z3acysdya/sybyC3+vMoc5cgTNX4MwVOHMFzlyBM1eguq7A/wVz5vLW4pFc + ugAAAABJRU5ErkJggg== - + - 4.472655 7.633038 2.198454 - 2.433876 7.633038 3.355527 - 3.414508 -1.668028 3.525607 - 3.414508 -1.668028 3.525607 - 5.453286 -1.668046 2.198454 - 4.472655 7.633038 2.198454 - -2.657426 -1.668028 3.525607 - -1.676794 7.633038 3.355527 - -3.715573 7.633038 2.198454 - -3.715573 7.633038 2.198454 - -4.696205 -1.668046 2.198454 - -2.657426 -1.668028 3.525607 - 2.433876 7.633038 3.355527 - 0.378541 7.633057 3.879066 - 0.378541 -1.668028 4.219207 - 0.378541 -1.668028 4.219207 - 3.414508 -1.668028 3.525607 - 2.433876 7.633038 3.355527 - 0.378541 -1.668028 4.219207 - 0.378541 7.633057 3.879066 - -1.676794 7.633038 3.355527 - -1.676794 7.633038 3.355527 - -2.657426 -1.668028 3.525607 - 0.378541 -1.668028 4.219207 - 3.414508 -1.668028 3.525607 - 0.378541 -1.668028 4.219207 - 0.378541 -10.969111 6.316247 - 0.378541 -10.969111 6.316247 - 3.309814 -10.969111 5.622646 - 3.414508 -1.668028 3.525607 - 0.378541 -10.969111 6.316247 - 0.378541 -1.668028 4.219207 - -2.657426 -1.668028 3.525607 - -2.657426 -1.668028 3.525607 - -2.552733 -10.969111 5.622646 - 0.378541 -10.969111 6.316247 - 5.348611 -10.969130 2.198454 - 3.309814 -10.969111 5.622646 - 1.404302 -24.203735 3.525607 - 1.404302 -24.203735 3.525607 - 3.443081 -20.270214 2.198454 - 5.348611 -10.969130 2.198454 - -0.647220 -24.203735 3.525607 - -2.552733 -10.969111 5.622646 - -4.591531 -10.969130 2.198454 - -4.591531 -10.969130 2.198454 - -2.685998 -20.270214 2.198454 - -0.647220 -24.203735 3.525607 - 3.309814 -10.969111 5.622646 - 0.378541 -10.969111 6.316247 - 0.378541 -26.444960 4.219207 - 0.378541 -26.444960 4.219207 - 1.404302 -24.203735 3.525607 - 3.309814 -10.969111 5.622646 - 0.378541 -26.444960 4.219207 - 0.378541 -10.969111 6.316247 - -2.552733 -10.969111 5.622646 - -2.552733 -10.969111 5.622646 - -0.647220 -24.203735 3.525607 - 0.378541 -26.444960 4.219207 - 0.378541 -26.444960 4.219207 - 0.378541 -22.583338 1.142650 - 1.404302 -24.203735 3.525607 - -0.647220 -24.203735 3.525607 - 0.378541 -22.583338 1.142650 - 0.378541 -26.444960 4.219207 - 3.443081 -20.270214 2.198454 - 1.404302 -24.203735 3.525607 - 0.378541 -22.583338 1.142650 - 0.378541 -22.583338 1.142650 - -0.647220 -24.203735 3.525607 - -2.685998 -20.270214 2.198454 - 0.378541 35.612703 -0.479738 - 3.239852 16.934141 -2.024759 - 0.378541 18.077305 -3.307155 - -2.482770 16.934141 -2.024759 - 0.378541 35.612703 -0.479738 - 0.378541 18.077305 -3.307155 - 3.239852 16.934141 -2.024759 - 4.472655 7.633057 -2.024759 - 0.378541 7.633057 -3.307155 - 0.378541 7.633057 -3.307155 - 0.378541 18.077305 -3.307155 - 3.239852 16.934141 -2.024759 - 0.378541 7.633057 -3.307155 - -3.715573 7.633057 -2.024759 - -2.482770 16.934141 -2.024759 - -2.482770 16.934141 -2.024759 - 0.378541 18.077305 -3.307155 - 0.378541 7.633057 -3.307155 - 0.378541 -1.668028 -3.307155 - 0.378541 7.633057 -3.307155 - 4.472655 7.633057 -2.024759 - 4.472655 7.633057 -2.024759 - 5.453286 -1.668028 -2.024759 - 0.378541 -1.668028 -3.307155 - -3.715573 7.633057 -2.024759 - 0.378541 7.633057 -3.307155 - 0.378541 -1.668028 -3.307155 - 0.378541 -1.668028 -3.307155 - -4.696205 -1.668028 -2.024759 - -3.715573 7.633057 -2.024759 - 0.378541 -1.668028 -3.307155 - 5.453286 -1.668028 -2.024759 - 5.348611 -10.969111 -2.024759 - 5.348611 -10.969111 -2.024759 - 0.378541 -10.969111 -3.307155 - 0.378541 -1.668028 -3.307155 - -4.591531 -10.969111 -2.024759 - -4.696205 -1.668028 -2.024759 - 0.378541 -1.668028 -3.307155 - 0.378541 -1.668028 -3.307155 - 0.378541 -10.969111 -3.307155 - -4.591531 -10.969111 -2.024759 - 0.378541 -26.693148 -2.024759 - 0.378541 -10.969111 -3.307155 - 5.348611 -10.969111 -2.024759 - 5.348611 -10.969111 -2.024759 - 3.443081 -20.270195 -2.024759 - 0.378541 -26.693148 -2.024759 - -4.591531 -10.969111 -2.024759 - 0.378541 -10.969111 -3.307155 - 0.378541 -26.693148 -2.024759 - 0.378541 -26.693148 -2.024759 - -2.685998 -20.270195 -2.024759 - -4.591531 -10.969111 -2.024759 - 0.378541 18.077305 3.038769 - 1.201054 17.505716 3.163013 - 0.378541 35.612703 0.561965 - 0.378541 35.612703 0.561965 - -0.443973 17.505716 3.163013 - 0.378541 18.077305 3.038769 - 1.201054 17.505716 3.163013 - 3.239852 16.934124 2.198454 - 0.378541 35.612703 0.561965 - 0.378541 35.612703 0.561965 - -2.482770 16.934124 2.198454 - -0.443973 17.505716 3.163013 - 3.239852 16.934124 2.198454 - 1.201054 17.505716 3.163013 - 2.433876 7.633038 3.355527 - 2.433876 7.633038 3.355527 - 4.472655 7.633038 2.198454 - 3.239852 16.934124 2.198454 - -1.676794 7.633038 3.355527 - -0.443973 17.505716 3.163013 - -2.482770 16.934124 2.198454 - -2.482770 16.934124 2.198454 - -3.715573 7.633038 2.198454 - -1.676794 7.633038 3.355527 - 0.378541 7.633057 3.879066 - 2.433876 7.633038 3.355527 - 1.201054 17.505716 3.163013 - 1.201054 17.505716 3.163013 - 0.378541 18.077305 3.038769 - 0.378541 7.633057 3.879066 - -0.443973 17.505716 3.163013 - -1.676794 7.633038 3.355527 - 0.378541 7.633057 3.879066 - 0.378541 7.633057 3.879066 - 0.378541 18.077305 3.038769 - -0.443973 17.505716 3.163013 - 13.855608 -25.607101 10.562526 - 7.581449 -25.607101 5.245265 - 22.985291 -42.621981 13.457991 - -22.228218 -42.621981 13.457991 - -6.824368 -25.607101 5.245265 - -13.098528 -25.607101 10.562526 - 16.437607 -25.607101 5.245265 - 13.855608 -25.607101 10.562526 - 22.985291 -42.621981 13.457991 - -22.228218 -42.621981 13.457991 - -13.098528 -25.607101 10.562526 - -15.680525 -25.607101 5.245265 - 16.437607 -25.607101 0.817187 - 16.437607 -25.607101 5.245265 - 22.985291 -42.621981 13.457991 - -22.228218 -42.621981 13.457991 - -15.680525 -25.607101 5.245265 - -15.680525 -25.607101 0.817187 - 22.985291 -42.621981 13.457991 - 7.581449 -25.607101 5.245265 - 7.581449 -25.607082 0.817187 - -6.824368 -25.607082 0.817187 - -6.824368 -25.607101 5.245265 - -22.228218 -42.621981 13.457991 - 22.985291 -42.621981 13.457991 - 7.581449 -25.607082 0.817187 - 16.437607 -25.607101 0.817187 - -15.680525 -25.607101 0.817187 - -6.824368 -25.607082 0.817187 - -22.228218 -42.621981 13.457991 - 14.952465 -5.664480 0.817187 - 12.009526 -7.267466 0.817187 - 15.974524 -14.550455 4.782182 - -15.217423 -14.550455 4.782182 - -11.252446 -7.267466 0.817187 - -14.195382 -5.664480 0.817187 - 16.437607 -25.607101 0.817187 - 14.952465 -5.664480 0.817187 - 15.974524 -14.550455 4.782182 - 15.974524 -14.550455 4.782182 - 16.437607 -25.607101 5.245265 - 16.437607 -25.607101 0.817187 - -15.217423 -14.550455 4.782182 - -14.195382 -5.664480 0.817187 - -15.680525 -25.607101 0.817187 - -15.680525 -25.607101 0.817187 - -15.680525 -25.607101 5.245265 - -15.217423 -14.550455 4.782182 - 8.027100 -14.667092 4.799613 - 12.009526 -7.267466 0.817187 - 8.558397 -9.321256 0.817187 - -7.801316 -9.321256 0.817187 - -11.252446 -7.267466 0.817187 - -7.270020 -14.667092 4.799613 - 7.581449 -25.607101 5.245265 - 8.027100 -14.667092 4.799613 - 8.558397 -9.321256 0.817187 - 8.558397 -9.321256 0.817187 - 7.581449 -25.607082 0.817187 - 7.581449 -25.607101 5.245265 - -7.801316 -9.321256 0.817187 - -7.270020 -14.667092 4.799613 - -6.824368 -25.607101 5.245265 - -6.824368 -25.607101 5.245265 - -6.824368 -25.607082 0.817187 - -7.801316 -9.321256 0.817187 - 12.008020 -14.550455 8.673979 - 12.009526 -7.267466 0.817187 - 8.027100 -14.667092 4.799613 - -7.270020 -14.667092 4.799613 - -11.252446 -7.267466 0.817187 - -11.250921 -14.550455 8.673979 - 13.855608 -25.607101 10.562526 - 12.008020 -14.550455 8.673979 - 8.027100 -14.667092 4.799613 - 8.027100 -14.667092 4.799613 - 7.581449 -25.607101 5.245265 - 13.855608 -25.607101 10.562526 - -7.270020 -14.667092 4.799613 - -11.250921 -14.550455 8.673979 - -13.098528 -25.607101 10.562526 - -13.098528 -25.607101 10.562526 - -6.824368 -25.607101 5.245265 - -7.270020 -14.667092 4.799613 - 15.974524 -14.550455 4.782182 - 12.009526 -7.267466 0.817187 - 12.008020 -14.550455 8.673979 - -11.250921 -14.550455 8.673979 - -11.252446 -7.267466 0.817187 - -15.217423 -14.550455 4.782182 - 16.437607 -25.607101 5.245265 - 15.974524 -14.550455 4.782182 - 12.008020 -14.550455 8.673979 - 12.008020 -14.550455 8.673979 - 13.855608 -25.607101 10.562526 - 16.437607 -25.607101 5.245265 - -11.250921 -14.550455 8.673979 - -15.217423 -14.550455 4.782182 - -15.680525 -25.607101 5.245265 - -15.680525 -25.607101 5.245265 - -13.098528 -25.607101 10.562526 - -11.250921 -14.550455 8.673979 - 8.558397 -9.321256 0.817187 - 12.009526 -7.267466 0.817187 - 14.952465 -5.664480 0.817187 - -14.195382 -5.664480 0.817187 - -11.252446 -7.267466 0.817187 - -7.801316 -9.321256 0.817187 - 0.378541 -22.696399 -1.090372 - 0.378541 -26.693148 -2.024759 - 3.443081 -20.270195 -2.024759 - 3.443081 -20.270195 -2.024759 - 3.443081 -20.270195 -0.778916 - 0.378541 -22.696399 -1.090372 - -2.685998 -20.270195 -2.024759 - 0.378541 -26.693148 -2.024759 - 0.378541 -22.696399 -1.090372 - 0.378541 -22.696399 -1.090372 - -2.685998 -20.270195 -0.778916 - -2.685998 -20.270195 -2.024759 - 3.239852 16.934141 -2.024759 - 3.239852 16.934141 -0.778916 - 4.472655 7.633057 -0.778916 - 4.472655 7.633057 -0.778916 - 4.472655 7.633057 -2.024759 - 3.239852 16.934141 -2.024759 - -3.715573 7.633057 -0.778916 - -2.482770 16.934141 -0.778916 - -2.482770 16.934141 -2.024759 - -2.482770 16.934141 -2.024759 - -3.715573 7.633057 -2.024759 - -3.715573 7.633057 -0.778916 - 0.378541 35.612703 -0.479738 - 0.378541 35.612703 -0.172430 - 3.239852 16.934141 -0.778916 - 3.239852 16.934141 -0.778916 - 3.239852 16.934141 -2.024759 - 0.378541 35.612703 -0.479738 - 0.378541 35.612703 -0.479738 - -2.482770 16.934141 -2.024759 - -2.482770 16.934141 -0.778916 - -2.482770 16.934141 -0.778916 - 0.378541 35.612703 -0.172430 - 0.378541 35.612703 -0.479738 - 4.472655 7.633057 -2.024759 - 4.472655 7.633057 -0.778916 - 5.453286 -1.668028 -0.778916 - 5.453286 -1.668028 -0.778916 - 5.453286 -1.668028 -2.024759 - 4.472655 7.633057 -2.024759 - -4.696205 -1.668028 -0.778916 - -3.715573 7.633057 -0.778916 - -3.715573 7.633057 -2.024759 - -3.715573 7.633057 -2.024759 - -4.696205 -1.668028 -2.024759 - -4.696205 -1.668028 -0.778916 - 5.453286 -1.668028 -2.024759 - 5.453286 -1.668028 -0.778916 - 5.696770 -9.236263 -0.778916 - 5.696770 -9.236263 -0.778916 - 5.348611 -10.969111 -2.024759 - 5.453286 -1.668028 -2.024759 - -4.939689 -9.236263 -0.778916 - -4.696205 -1.668028 -0.778916 - -4.696205 -1.668028 -2.024759 - -4.696205 -1.668028 -2.024759 - -4.591531 -10.969111 -2.024759 - -4.939689 -9.236263 -0.778916 - 5.348611 -10.969111 -2.024759 - 5.696770 -9.236263 -0.778916 - 3.443081 -20.270195 -0.778916 - 3.443081 -20.270195 -0.778916 - 3.443081 -20.270195 -2.024759 - 5.348611 -10.969111 -2.024759 - -2.685998 -20.270195 -0.778916 - -4.939689 -9.236263 -0.778916 - -4.591531 -10.969111 -2.024759 - -4.591531 -10.969111 -2.024759 - -2.685998 -20.270195 -2.024759 - -2.685998 -20.270195 -0.778916 - 0.378541 -22.583338 1.142650 - 0.378541 -22.658658 0.026148 - 3.443081 -20.270195 0.709778 - 3.443081 -20.270195 0.709778 - 3.443081 -20.270214 2.198454 - 0.378541 -22.583338 1.142650 - -2.685998 -20.270195 0.709778 - 0.378541 -22.658658 0.026148 - 0.378541 -22.583338 1.142650 - 0.378541 -22.583338 1.142650 - -2.685998 -20.270214 2.198454 - -2.685998 -20.270195 0.709778 - 0.378541 -22.658658 0.026148 - 0.378541 -22.696399 -1.090372 - 3.443081 -20.270195 -0.778916 - 3.443081 -20.270195 -0.778916 - 3.443081 -20.270195 0.709778 - 0.378541 -22.658658 0.026148 - -2.685998 -20.270195 -0.778916 - 0.378541 -22.696399 -1.090372 - 0.378541 -22.658658 0.026148 - 0.378541 -22.658658 0.026148 - -2.685998 -20.270195 0.709778 - -2.685998 -20.270195 -0.778916 - 3.239852 16.934141 -0.778916 - 3.239852 16.934124 0.709778 - 4.472655 7.633057 0.709778 - 4.472655 7.633057 0.709778 - 4.472655 7.633057 -0.778916 - 3.239852 16.934141 -0.778916 - -3.715573 7.633057 0.709778 - -2.482770 16.934124 0.709778 - -2.482770 16.934141 -0.778916 - -2.482770 16.934141 -0.778916 - -3.715573 7.633057 -0.778916 - -3.715573 7.633057 0.709778 - 3.239852 16.934124 0.709778 - 3.239852 16.934124 2.198454 - 4.472655 7.633038 2.198454 - 4.472655 7.633038 2.198454 - 4.472655 7.633057 0.709778 - 3.239852 16.934124 0.709778 - -3.715573 7.633038 2.198454 - -2.482770 16.934124 2.198454 - -2.482770 16.934124 0.709778 - -2.482770 16.934124 0.709778 - -3.715573 7.633057 0.709778 - -3.715573 7.633038 2.198454 - 0.378541 35.612703 -0.172430 - 0.378541 35.612703 0.194758 - 3.239852 16.934124 0.709778 - 3.239852 16.934124 0.709778 - 3.239852 16.934141 -0.778916 - 0.378541 35.612703 -0.172430 - -2.482770 16.934124 0.709778 - 0.378541 35.612703 0.194758 - 0.378541 35.612703 -0.172430 - 0.378541 35.612703 -0.172430 - -2.482770 16.934141 -0.778916 - -2.482770 16.934124 0.709778 - 0.378541 35.612703 0.194758 - 0.378541 35.612703 0.561965 - 3.239852 16.934124 2.198454 - 3.239852 16.934124 2.198454 - 3.239852 16.934124 0.709778 - 0.378541 35.612703 0.194758 - -2.482770 16.934124 2.198454 - 0.378541 35.612703 0.561965 - 0.378541 35.612703 0.194758 - 0.378541 35.612703 0.194758 - -2.482770 16.934124 0.709778 - -2.482770 16.934124 2.198454 - 4.472655 7.633057 -0.778916 - 4.472655 7.633057 0.709778 - 5.453286 -1.668028 0.709778 - 5.453286 -1.668028 0.709778 - 5.453286 -1.668028 -0.778916 - 4.472655 7.633057 -0.778916 - -4.696205 -1.668028 0.709778 - -3.715573 7.633057 0.709778 - -3.715573 7.633057 -0.778916 - -3.715573 7.633057 -0.778916 - -4.696205 -1.668028 -0.778916 - -4.696205 -1.668028 0.709778 - 4.472655 7.633057 0.709778 - 4.472655 7.633038 2.198454 - 5.453286 -1.668046 2.198454 - 5.453286 -1.668046 2.198454 - 5.453286 -1.668028 0.709778 - 4.472655 7.633057 0.709778 - -4.696205 -1.668046 2.198454 - -3.715573 7.633038 2.198454 - -3.715573 7.633057 0.709778 - -3.715573 7.633057 0.709778 - -4.696205 -1.668028 0.709778 - -4.696205 -1.668046 2.198454 - 5.453286 -1.668028 -0.778916 - 5.453286 -1.668028 0.709778 - 5.696770 -9.236282 0.709778 - 5.696770 -9.236282 0.709778 - 5.696770 -9.236263 -0.778916 - 5.453286 -1.668028 -0.778916 - -4.939689 -9.236282 0.709778 - -4.696205 -1.668028 0.709778 - -4.696205 -1.668028 -0.778916 - -4.696205 -1.668028 -0.778916 - -4.939689 -9.236263 -0.778916 - -4.939689 -9.236282 0.709778 - 5.453286 -1.668028 0.709778 - 5.453286 -1.668046 2.198454 - 5.348611 -10.969130 2.198454 - 5.348611 -10.969130 2.198454 - 5.696770 -9.236282 0.709778 - 5.453286 -1.668028 0.709778 - -4.591531 -10.969130 2.198454 - -4.696205 -1.668046 2.198454 - -4.696205 -1.668028 0.709778 - -4.696205 -1.668028 0.709778 - -4.939689 -9.236282 0.709778 - -4.591531 -10.969130 2.198454 - 5.696770 -9.236282 0.709778 - 5.348611 -10.969130 2.198454 - 3.443081 -20.270214 2.198454 - 3.443081 -20.270214 2.198454 - 3.443081 -20.270195 0.709778 - 5.696770 -9.236282 0.709778 - -2.685998 -20.270214 2.198454 - -4.591531 -10.969130 2.198454 - -4.939689 -9.236282 0.709778 - -4.939689 -9.236282 0.709778 - -2.685998 -20.270195 0.709778 - -2.685998 -20.270214 2.198454 - 7.581449 -25.607082 0.817187 - 8.558397 -9.321256 0.817187 - 5.696770 -9.236282 0.709778 - 5.696770 -9.236282 0.709778 - 3.443081 -20.270195 0.709778 - 7.581449 -25.607082 0.817187 - -4.939689 -9.236282 0.709778 - -7.801316 -9.321256 0.817187 - -6.824368 -25.607082 0.817187 - -6.824368 -25.607082 0.817187 - -2.685998 -20.270195 0.709778 - -4.939689 -9.236282 0.709778 - 14.987269 -5.781134 -0.957311 - 12.026958 -7.267466 -0.934151 - 8.593332 -9.204603 -0.911066 - -7.836250 -9.204603 -0.911066 - -11.269876 -7.267466 -0.934151 - -14.230169 -5.781134 -0.957311 - 7.573170 -25.607101 -5.336373 - 8.038950 -14.550455 -4.875988 - 11.977679 -14.550455 -8.790777 - 11.977679 -14.550455 -8.790777 - 11.970239 -25.607101 -10.679306 - 7.573170 -25.607101 -5.336373 - -11.220598 -14.550455 -8.790777 - -7.281869 -14.550455 -4.875988 - -6.816090 -25.607101 -5.336373 - -6.816090 -25.607101 -5.336373 - -11.213158 -25.607101 -10.679306 - -11.220598 -14.550455 -8.790777 - 8.038950 -14.550455 -4.875988 - 12.026958 -7.267466 -0.934151 - 11.977679 -14.550455 -8.790777 - -11.220598 -14.550455 -8.790777 - -11.269876 -7.267466 -0.934151 - -7.281869 -14.550455 -4.875988 - 11.970239 -25.607101 -10.679306 - 11.977679 -14.550455 -8.790777 - 15.986130 -14.667092 -4.939682 - 15.986130 -14.667092 -4.939682 - 16.429197 -25.607101 -5.387919 - 11.970239 -25.607101 -10.679306 - -15.229050 -14.667092 -4.939682 - -11.220598 -14.550455 -8.790777 - -11.213158 -25.607101 -10.679306 - -11.213158 -25.607101 -10.679306 - -15.672098 -25.607101 -5.387919 - -15.229050 -14.667092 -4.939682 - 11.977679 -14.550455 -8.790777 - 12.026958 -7.267466 -0.934151 - 15.986130 -14.667092 -4.939682 - -15.229050 -14.667092 -4.939682 - -11.269876 -7.267466 -0.934151 - -11.220598 -14.550455 -8.790777 - 16.429197 -25.607101 -5.387919 - 15.986130 -14.667092 -4.939682 - 14.987269 -5.781134 -0.957311 - 14.987269 -5.781134 -0.957311 - 16.454962 -25.607082 -0.959915 - 16.429197 -25.607101 -5.387919 - -14.230169 -5.781134 -0.957311 - -15.229050 -14.667092 -4.939682 - -15.672098 -25.607101 -5.387919 - -15.672098 -25.607101 -5.387919 - -15.697882 -25.607082 -0.959915 - -14.230169 -5.781134 -0.957311 - 15.986130 -14.667092 -4.939682 - 12.026958 -7.267466 -0.934151 - 14.987269 -5.781134 -0.957311 - -14.230169 -5.781134 -0.957311 - -11.269876 -7.267466 -0.934151 - -15.229050 -14.667092 -4.939682 - 7.598953 -25.607101 -0.908369 - 8.593332 -9.204603 -0.911066 - 8.038950 -14.550455 -4.875988 - 8.038950 -14.550455 -4.875988 - 7.573170 -25.607101 -5.336373 - 7.598953 -25.607101 -0.908369 - -7.281869 -14.550455 -4.875988 - -7.836250 -9.204603 -0.911066 - -6.841872 -25.607101 -0.908369 - -6.841872 -25.607101 -0.908369 - -6.816090 -25.607101 -5.336373 - -7.281869 -14.550455 -4.875988 - 8.593332 -9.204603 -0.911066 - 12.026958 -7.267466 -0.934151 - 8.038950 -14.550455 -4.875988 - -7.281869 -14.550455 -4.875988 - -11.269876 -7.267466 -0.934151 - -7.836250 -9.204603 -0.911066 - 22.876171 -42.621981 -13.574731 - 16.454962 -25.607082 -0.959915 - 7.598953 -25.607101 -0.908369 - -6.841872 -25.607101 -0.908369 - -15.697882 -25.607082 -0.959915 - -22.119059 -42.621981 -13.574731 - 22.876171 -42.621981 -13.574731 - 16.429197 -25.607101 -5.387919 - 16.454962 -25.607082 -0.959915 - -15.697882 -25.607082 -0.959915 - -15.672098 -25.607101 -5.387919 - -22.119059 -42.621981 -13.574731 - 7.598953 -25.607101 -0.908369 - 7.573170 -25.607101 -5.336373 - 22.876171 -42.621981 -13.574731 - -22.119059 -42.621981 -13.574731 - -6.816090 -25.607101 -5.336373 - -6.841872 -25.607101 -0.908369 - 7.573170 -25.607101 -5.336373 - 11.970239 -25.607101 -10.679306 - 22.876171 -42.621981 -13.574731 - -22.119059 -42.621981 -13.574731 - -11.213158 -25.607101 -10.679306 - -6.816090 -25.607101 -5.336373 - 11.970239 -25.607101 -10.679306 - 16.429197 -25.607101 -5.387919 - 22.876171 -42.621981 -13.574731 - -22.119059 -42.621981 -13.574731 - -15.672098 -25.607101 -5.387919 - -11.213158 -25.607101 -10.679306 - 3.443081 -20.270195 -0.778916 - 5.696770 -9.236263 -0.778916 - 8.593332 -9.204603 -0.911066 - 8.593332 -9.204603 -0.911066 - 7.598953 -25.607101 -0.908369 - 3.443081 -20.270195 -0.778916 - -7.836250 -9.204603 -0.911066 - -4.939689 -9.236263 -0.778916 - -2.685998 -20.270195 -0.778916 - -2.685998 -20.270195 -0.778916 - -6.841872 -25.607101 -0.908369 - -7.836250 -9.204603 -0.911066 - 7.581449 -25.607082 0.817187 - 3.443081 -20.270195 0.709778 - 3.443081 -20.270195 -0.778916 - 3.443081 -20.270195 -0.778916 - 7.598953 -25.607101 -0.908369 - 7.581449 -25.607082 0.817187 - -2.685998 -20.270195 -0.778916 - -2.685998 -20.270195 0.709778 - -6.824368 -25.607082 0.817187 - -6.824368 -25.607082 0.817187 - -6.841872 -25.607101 -0.908369 - -2.685998 -20.270195 -0.778916 - 8.558397 -9.321256 0.817187 - 8.593332 -9.204603 -0.911066 - 5.696770 -9.236263 -0.778916 - 5.696770 -9.236263 -0.778916 - 5.696770 -9.236282 0.709778 - 8.558397 -9.321256 0.817187 - -4.939689 -9.236263 -0.778916 - -7.836250 -9.204603 -0.911066 - -7.801316 -9.321256 0.817187 - -7.801316 -9.321256 0.817187 - -4.939689 -9.236282 0.709778 - -4.939689 -9.236263 -0.778916 - 16.437607 -25.607101 0.817187 - 21.394287 -27.537485 0.817187 - 31.730505 -23.228477 -2.453780 - 31.730505 -23.228477 -2.453780 - 14.952465 -5.664480 0.817187 - 16.437607 -25.607101 0.817187 - -30.973404 -23.228477 -2.453780 - -20.637203 -27.537485 0.817187 - -15.680525 -25.607101 0.817187 - -15.680525 -25.607101 0.817187 - -14.195382 -5.664480 0.817187 - -30.973404 -23.228477 -2.453780 - 16.454962 -25.607082 -0.959915 - 14.987269 -5.781134 -0.957311 - 31.765310 -23.345131 -4.228297 - 31.765310 -23.345131 -4.228297 - 21.411638 -27.537464 -0.959915 - 16.454962 -25.607082 -0.959915 - -31.008205 -23.345131 -4.228297 - -14.230169 -5.781134 -0.957311 - -15.697882 -25.607082 -0.959915 - -15.697882 -25.607082 -0.959915 - -20.654554 -27.537464 -0.959915 - -31.008205 -23.345131 -4.228297 - 14.952465 -5.664480 0.817187 - 31.730505 -23.228477 -2.453780 - 31.765310 -23.345131 -4.228297 - 31.765310 -23.345131 -4.228297 - 14.987269 -5.781134 -0.957311 - 14.952465 -5.664480 0.817187 - -31.008205 -23.345131 -4.228297 - -30.973404 -23.228477 -2.453780 - -14.195382 -5.664480 0.817187 - -14.195382 -5.664480 0.817187 - -14.230169 -5.781134 -0.957311 - -31.008205 -23.345131 -4.228297 - 16.437607 -25.607101 0.817187 - 7.581449 -25.607082 0.817187 - 7.598953 -25.607101 -0.908369 - 7.598953 -25.607101 -0.908369 - 16.454962 -25.607082 -0.959915 - 16.437607 -25.607101 0.817187 - -6.841872 -25.607101 -0.908369 - -6.824368 -25.607082 0.817187 - -15.680525 -25.607101 0.817187 - -15.680525 -25.607101 0.817187 - -15.697882 -25.607082 -0.959915 - -6.841872 -25.607101 -0.908369 - 16.437607 -25.607101 0.817187 - 16.454962 -25.607082 -0.959915 - 21.411638 -27.537464 -0.959915 - 21.411638 -27.537464 -0.959915 - 21.394287 -27.537485 0.817187 - 16.437607 -25.607101 0.817187 - -20.654554 -27.537464 -0.959915 - -15.697882 -25.607082 -0.959915 - -15.680525 -25.607101 0.817187 - -15.680525 -25.607101 0.817187 - -20.637203 -27.537485 0.817187 - -20.654554 -27.537464 -0.959915 - 21.394287 -27.537485 0.817187 - 21.411638 -27.537464 -0.959915 - 38.825779 -50.298262 -9.234420 - 38.825779 -50.298262 -9.234420 - 38.808422 -50.298288 -7.457318 - 21.394287 -27.537485 0.817187 - -38.068703 -50.298262 -9.234420 - -20.654554 -27.537464 -0.959915 - -20.637203 -27.537485 0.817187 - -20.637203 -27.537485 0.817187 - -38.051346 -50.298288 -7.457318 - -38.068703 -50.298262 -9.234420 - 21.394287 -27.537485 0.817187 - 38.808422 -50.298288 -7.457318 - 31.730505 -23.228477 -2.453780 - -30.973404 -23.228477 -2.453780 - -38.051346 -50.298288 -7.457318 - -20.637203 -27.537485 0.817187 - 21.411638 -27.537464 -0.959915 - 31.765310 -23.345131 -4.228297 - 38.825779 -50.298262 -9.234420 - -38.068703 -50.298262 -9.234420 - -31.008205 -23.345131 -4.228297 - -20.654554 -27.537464 -0.959915 - 31.730505 -23.228477 -2.453780 - 38.808422 -50.298288 -7.457318 - 38.825779 -50.298262 -9.234420 - 38.825779 -50.298262 -9.234420 - 31.765310 -23.345131 -4.228297 - 31.730505 -23.228477 -2.453780 - -38.068703 -50.298262 -9.234420 - -38.051346 -50.298288 -7.457318 - -30.973404 -23.228477 -2.453780 - -30.973404 -23.228477 -2.453780 - -31.008205 -23.345131 -4.228297 - -38.068703 -50.298262 -9.234420 - 5.348611 -10.969130 2.198454 - 3.414508 -1.668028 3.525607 - 3.309814 -10.969111 5.622646 - -2.552733 -10.969111 5.622646 - -2.657426 -1.668028 3.525607 - -4.591531 -10.969130 2.198454 - 5.453286 -1.668046 2.198454 - 3.414508 -1.668028 3.525607 - 5.348611 -10.969130 2.198454 - -4.591531 -10.969130 2.198454 - -2.657426 -1.668028 3.525607 - -4.696205 -1.668046 2.198454 - 8.558397 -9.321256 0.817187 - 14.952465 -5.664480 0.817187 - 14.987269 -5.781134 -0.957311 - 14.987269 -5.781134 -0.957311 - 8.593332 -9.204603 -0.911066 - 8.558397 -9.321256 0.817187 - -14.230169 -5.781134 -0.957311 - -14.195382 -5.664480 0.817187 - -7.801316 -9.321256 0.817187 - -7.801316 -9.321256 0.817187 - -7.836250 -9.204603 -0.911066 - -14.230169 -5.781134 -0.957311 + -42.338106 -42.357772 -3.000000 + 42.416807 -42.357799 -3.000000 + 42.416841 42.397145 -3.000000 + 42.416841 42.397145 -3.000000 + -42.338095 42.397145 -3.000000 + -42.338106 -42.357772 -3.000000 + + + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + + + 0.039362 0.019681 -3.000000 + + + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 + + + iVBORw0KGgoAAAANSUhEUgAAAIAAAACACAYAAADDPmHLAAAACXBIWXMAAA7EAAAOxAGVKw4bAAAg + AElEQVR4Ae2dB5jc9Xnn3+l9tvdVXxVUQSC66cI2LjE2BBsnsYOTOHf22bmL88R3yV2SS3x+cjxO + cey4BM5xbAc3cGxjbAwGBKIIIUBCBSFpd7XSapu2l+kz9/n+RoN5uCQuh2Bn0U+andnZmf/85//2 + 9/2+78/szDpzBc5cgTNX4MwVeJ1eAc/r6XsHQyE7d8uFHYma5IcvPv+8Nzc0NCx/ctczPdls5gs9 + h174wu7duwuZTOb1dEnM+3r6tmvWbfyoPxg43lSb/HgymdiUiMcTne2tG6dn5j5bKBa/USqVXk+X + w31X/0L/xj6fz3Srb2z6jbqG+r8JWslu+a1brLm52eZm5ywcDtu+5w9ZJBp7VyAQWJvP5/fr9Vo8 + toXOFOVvuoC5IBgMGqq+dvW69duCgYD/ogvPt7Vr1zrC1yRrHIHn5mbt+MCgZdOpzrm5ua+L6CHM + xeuBARa8CRAhFy1d9ofRWCx09sZ1ds3WaywcCrubx+uxJUuW2Hmbz7FoNGptHR1vSyQSIckD2sC8 + 3gV/eRa+DxCLxT21dQ0fWrd6tb35TW+yWCxmgWDAAn4I7PG4m5hgVVcXBPd7Gxsbt8gESPo9/H2h + rwXP4s1t7UsikUji8ssusdraWojqtVAw7PwCr9dnRXyCRCJuZ5+90Yzf29vb3yRtkMvlzjDAQuD+ + RE3t+eFQ0FpbW80QaB9qXapd0q3HpWLRcvmc1dXUOKbwBwJn19XVWZHndVvoa8FrAAh9tg9bn86k + HS0V6ZUKIjoqXhyBRshlC4aWsHgiYdMzs2vFAFoLPQLQd1zwDACNz/E4SS85gkr6JfGZbMbS3DIw + hpzBENHCos4Ons8t8fv9wdeDA/j6YACzpVLzXl9Z7RdLRefg5dJZS6UzVsyh5lEL/oDf1p21Gmbw + elH9SxUFvB7WgtcAqPkGEVNef5njSzBADpOQskwqbVgDpwf1mkUdHS78y2Zzy2USzpiABSAChHS1 + QcI+qXl5gfL682iELBpAoV4unzE/YZ8SRtFY1CWIsvncUkUCr4e14DVAoVAI1OLhl2N6/AAkvpAv + WCYH8fEBZOt9Xr/5/D6LhCMWJEnk9/mdCTiTB6h+EXCZHJw6F/Lp6+QLhRedv3Q265xAqoGKB5z6 + j8djYoIOqf8zDFD9DOBVVm9oeMQRXl9HsX0ewssZzBL+5TOKCLL4AqgGuIByAVrB16LXnmEAXYXq + XmE4AGeuYNICbkH4HAT3eEoWjvhtOjVHLOzFLJTzAjIX/qC/5UwYWN2Er5x9QlIsb392drZCf8vj + Corgfr/XqX2p+zx+QYGQIIofUCqWWs+YgMolrO77VklyRZpFVP3zkQ2UsycTEA75LYUP4PP5LZvP + WtbVALyNfO0FXyoXaRd0FBCLx1dLAwj0kSHpIylPzxH7F0rkBSgEYQ5C/iAXAaYgUQR/YBpgGL+X + QqFHTLDg14JmgLr6xsvEAEr9Kg9QzBfJ9U+bD0cviwkIquwL1cEAQGiP1dXWnMoYwhzFItWjhb8W + NgM0NLyFPIDz/GPRmOUKeUdoqf08ar+ABvDBIF6YQAyibGAiFgEj4AjfIuZZ6GvBYQJl7+XxQ8wE + OMAlGbz8s9ZcYMUCMo6TFyPbl8MUiPBW8vBfGgLGENXBAyQSSQyCW2c0QDVyv4gvm7946bJLhPiJ + IO0iaKGQc/fKC5Sw+qFY0uLRuDQ/OYK8ZYGD+7D/IIKMCJHlWVSN3/8XPecFqQEE+2pubbspAh7w + fb/2Hlu6bJlD+GSJ/0kFmcpCgWDIqX2fXMCitIHiA7MlizqhPSUkr3cpvy74teB8AEm41Dhh3rVt + rc3W0NjgsnvK9xeKOUrAaRI9ASMFAMEhuR/ie/AF0BwVHKDMRDAc6lLYuNDXgmMAOW6JZLLD6/O0 + X3P1VZasSbrwT5k/QJ8QHkJTCELbu3JvUQkhogLe5n6XM0jTCGYkuloO5EJfC44B5ASGo7Fr/Th0 + 7jEJHx/iXiAE9BPrB6C8Yv1iriDz76qDUgRKBEneyRpbPBK2QDjYxvtrzjBAFV4B8v9vVw0gHos7 + JhAjBEI+CE/CJ+i3oEsCQexTJoDUr/uWsIaSQA4fwCO6haJnV+HX/4VOecFpAPkAEPxSSXkMUIdU + vhignOHD5+VxyWkB5J/H4oE8voEwAlIJMiECh8os1Dc2v/EXuppV+OIFxwCEgQ2o88aaZNzaO9qd + lJPvgdBIv5jBh2zzrYUM0nPuEfmAQklJImoERAeqCIbpHejo6PzdpqYmhxhWh9FCTAwtOAYIhcOb + 5dC1NLcQ+2PnT6X1lPoVOLSs+MUE5VoPcFEI6552zqL8hJaWFmtqqLNwLFoHA4QrlcEzDCARmecr + HIleJV3e3tbm+v2K2PdSMU+ir2RZVL2W+gFE8zLhy/E/dCdXwGwAnlSr2FJueiXdRAmliKUBFuJa + cBqAIRDXCt/X2tJUpheUls0vlrD9BVR9HrXPc2IA/RQTUC2wHIwyNT4N00ToImqxdevWklImp5Cs + qZUGSKVSvLb8rvKBF8bPhcYAnlAovCFCGLd+3bpyXC+tzypQDHBtYLksvgCEhKiYfkwBoFAYQe5A + TV2y/DshYR19hIKPwQT1ShAt1JzAgmIAoN3NaICAagHSAlmInaMGUMQXyKRTJICyrjNYBHULqnvE + BdIE/KwhASRCyzWkndwCMALo4YaFnBFcULWAUDiyRoRtxXOXs5cm7av2rxSTQIpUAkXIImCQgo/U + rxfpVh2AEEHEV+dQllBQLWM5gKKRU0yUn8k3nmEAXdUqWMmamvN0mp0UdITvEwQ8TwMInT7lXL/Q + v1T+/AUqgiiBgl8tYzz2ylEsN4xKOwAKxV9gSggh4WSx1PRyBlh11jqYK+U0y9DACVdoqoLL86+e + 4oIyAXUNjdeor1+Ek2+vjp9wJGRRbjL4Rap+suv6J82fSWW55wHPwy+OoPIFAr6A0x6CiHOcU96k + 3IaSLVqyVJiCa6Lh0BONTU0PnL3lgnetWLnKdRb9q1d4nj+5oEwARaBLJMHq+9cSwXLUAOZSMgMp + vP0CzKFicNn7DzE3IKDikAsTCQPRDkESQFgG5/WrPxDeaHqp9x+LRDzZVPp2sgeLMyCNc+n0lU3N + LeeMDA0+Wy43u4+umh8LhgHw5pWwSXgJ+WrB9iG6DugpkEc4GjZ1/JSdOrqCAYaq6BOMBjATmgQi + egkXUGYQNY8qOkjwHlilSZjBqakpVy7mwMvC0cjiJH9T/+DY2JiaSn4dx/PZ6elpx3RVQ333ravp + bP+dcyVebxbyt5Hxb01NjU7Vq8JHXZ+sXqMmhTmGkJQqItA8AJkDqXw5hriHZA2J93EYlT2U1Ldp + qoh5GsUMlTDQ4/FtZIqIaYiERs0l4gnBy9ZUa6Ko6jWAiKPO3vbOzqWCfdVDmDaygFL/InYUMGge + J3ASCZ6bm4HaJavlNYKBgQNx4SKi755XMCjfQJVAvV+KgSihSX6FmEVMQWl5uZpKFGrqNQ5L4PUs + 19/0e7WtqncCVf0TBKy2rn6dqn8O+EFIJ2KIcIGAD9uuoRAiICkfXq9+AIV/KgMXZQLc62EC6Kdi + kfSB3q9kEHeNIn5l8XCx8gpl7UFISehYKJaW8lnOkFReVy33C4IBZIuRyAtl+9esWuUIKQJIovMQ + PxAOWDCgog+yjU8gpikDQAgDYQoJrsJAhwtwr4Ex+F3NIqz4yyS7Tr2FcjalfTIklzhAGBOxWS+u + tlX1DCAiSAP4A8GrGuvrraO9zRFPz8uWD/SfsCgmQqpaTaKSbkdx/kbiCIlH+0PMEsQWithpBfeS + kp0cHRM91SX0ErqWEm7mEFyjIpGPAzBhFCcz8UleV/+SF1bFwwXhA9AChhb3dKaBeSXx2KX6xQAa + +FDG//lcbkBSr/Yw6OvCP5V+gQdCYTIDUgNohwISXXAmwRkCaQ3Pi53FvA9uIE7kpTCFfI+m5iYb + HR2ljlC3dUvLxaOBQPD5sbHRd/f1du+encHnmOer6jWACIH0RyrXWRlAkU7tYCKQvPU52sE0DEIa + QRU+Zgbj7PEqfABJsLx6Bw7mIGIEJZCk9pU+FgNUTIDuPV5frvK7TInAIytXdgEgCVgGJ3NidHgN + x/rHzkWLK6c0r++rXgOIGIA76qCUs+Py/AX/UsJnZnbGzQQW8TUb0CV5hA7Gp5MvUIIhyhaBey9M + QUIojjmR/y/GmiSuF9O81AmEmin5Fo7xgJJraQKpmE0lY2mD2XRmaX5y0v1tvv+oegYQISjkEPcp + pAtYZ2eHs/F6XgQR0WUO9DetIuGftESRpE+5ECwlqKpgWRsIIVTCy3cMMDXtNIbzJ8RgvIaPmVak + oXUKf+heqzyAEkbuNVPT8ZmJ6mCAqjcBjhB+/7KC0wQAP/DeRTzdopEov2vmPyTmd5q+3WO9xxWL + MBMChDqi8TdVBJ1K4KccPL3eT11Aj7V0TELAk158Cb1Hv4s5HIO5yMLnsoOFbF4Qg6pYVc8AZSL4 + VvghlqZ+SSqdQ8fllxPoIGHIrcI8mQbZ+zQTQ0RoZff0WKlfibZ+pObm3CwBqXQxiUJBGOBFcqJB + RnSMyqowgT5XzqKYAdzBnD63GtaCMAHY6VW63CKeiFmhluy3lh/CK/mjzIDTECR7RCDtD+Q6hVxM + jxvAQaTKK0Ml1TUcgLBIuw5UoeiJihqRFnj5UgTCbdIZi5f/cR7+XvUaoGyfvesE89JFVweQiFhx + 1FTSJVnvNEBBFSBWBNMwl5p1Klx4wKKAIEwOVbbQRRFoC0mzWsjlEEJod530WaxeaZLKEkOJ6GIm + +RzsOAKgJHNYELRqWD/9JtVwtv/GOeLRLxXBhQMQFEw7gkg1a4loYgqZBZfC5X6OxE1YOQIkXAR0 + JkP30h4wks/Z/7K5OEVrdzAdl9d281HOLxCT6P1CHk1MTDCObtjmyEXw4c+8qC/+jXOeL09XPQOI + 0ChiB9uSs8aUT3dty7Y+ZTNIpHr/EfRyXM+rQwEBRPQypoMplcsxFCWoazgME2lHERFWIaWYBgK7 + XICYi7cN85nD8hH0eXqdJF9l4WlwBzIzoI6+4wsE3XnM9x9VzwBc4AAEYcoLCZxTTpiYQskcTfwC + sOEQwRoE6Qw5FFQhyGkEJYKoBQSoE4joahGXeneq/5R91x3OomMXZ1ZEYiT8lDlwWmRuLmVpXqL3 + Dg8NPEoC6eH5TvjK+VU9A0D4sKgjj162WNLoJBeClx0xhYTlQhAPRDyIL3Wgd8ES/K6CkFBEQUI+ + VQOFJawsRQ+YCscAFceOCuD9svWaPTjL/SQYAo2dGR87Odp/tPd9vK4EQ7lpo5XjzNf7qmcALmxc + Xrk0gFS9kDtiBkm4sH0iuFS3ikFqB5OJUPpXMX8ANe6GRaD+SfiLFwj7MCGQW9qEQ7ib1LyWpF7p + YxjtmyMjI6kTJ06w3dyQTZHznxoftbmpyT9JJpOTHOZDfn/gN92b5vmPqmcAiFMr8RTBRTT1Auhe + ywvhRfQAv+smJqjE68L7RcNMAoEJ5Pjl0R5iBkUQldcoW+hwA6USVoF5gziBeZ5jykgfxP/E4Og4 + GoB9B9ACCTqKli9f/pmOjo4RkMafgQlS7iTm+Y+qZwAkrZGL7UTVEbOi7sUQSLNKvFL1lZwATyD9 + svs4fYBF1AWs5hF58goZK5tKSdrZPwgt4ZjJFZukNeRL0EP4/rqm1j+VFZmeHIP46iTusEWLFmnX + MVdnyGbS4/Oc9u70yqJSDWf6b5xjLJFolU0PyytHghWPS4LlCzibrXo/JiGbweHj+YoaFyRMEj5D + PkBg0Jpkkk8oY/9kQrR0r1Qw0q9JkhN6rqa27paa2trb8Cs8g8eOkm0MWQ0VQRWEhDuUppBrQW5h + RK+f76vqNQCtYMtlqFXFk/1OEeMrJhdKSNIvoosR0jDGDLZaTpv2BxCuLwcYQCqezSUBdDBNBMKV + q4kqG2NOCAPB/+uxGxsr4pIZvAYn8I7nn3v2H4QnqAeEoiKQQCn6zEoSilDw5Hwnvs6v6hmArN56 + iZwkMcwtiEOnaEDAjxB2PnjKDKi2L699ijKt9goWQ8h3qKutowOYiSD4C4KPVaRfxBfjCGGEY7dO + F0uwb0bN3nxyePAWCP+A1L6QwZJ+R3gYJJ0pvw/Y2BkG0EU73cvr958vlSvCCQ0US8SY7ROBIErE + 4LXzN0m5mETpWRF+HCYQQ7B9vKBczldIURSagcDSEgrxHnrkURxEkkL4CjiMb5XpkAYgv/BWwsJB + CH+HbL6DhvO50jSVMFTfGee0Khig6n0APPPluuDy4hUJjIHjE9hDvoCIrpkAyuQXS9IKRQAbY9jq + Ouf9q+ij4pGIPj4+bpMwhogoop+g56+pod49hshvI+yLwgRzqP+GaCRcq9ExulWygXqfPlPOJCsL + Q07rwXxfVc0A2P8IN38Z2uVxiGB59FLHQTRALpt3Nl9g0Dk8ehG7AYhYnEGSSaRfYaGkXSie/v5+ + GxwcdMwgaaegY0sXddgQz2ECop2dnQdxLH8XjvI1NjCAEodPdl/5BzmcL97wQXiup5I7OMMAp/cK + dMIAjliSvOP9x60Bp+zo0aO2Zs0ay3vylqiJ0wRK8YcKoGYEq+1bk0RFfDkB8gtOnjxpTzz5lL1w + +LCNDAwQMkp3eHEsow70KSJj8zuR6rtFdEm+7L7UvnwFfbb8A2mQWXUWmeeICk3VsKpaA0DALbLL + ctZmKcT0AwEXURUFiDBSz/zZcqR3lSNQjB+PJ12voIiWz8/a0NCQ/cvd91gfTCPwqBxDL5tIKEeg + 6p52Egrx+FTvwYshn46nz5Dki4nEGAMwT4rEEOdz8AwDnCb2F8Ertw2bz7tJH6PBz8rWH+rpRQuc + gBhRW79+A0QPQCQg4h4/ziHMgCQr5Kt4+j09Pfad7//Aeo4csTQAUk0Sm4EJBCxRNbG+oZFewVnz + JtlIis9RaOjCSo4jBtJNxNfxNFkMU2EDQ8MyAXvlE1TDqjoNIPssyW5obAozEOJa2drJ0WHXpOnF + qZuGII8+vsPOP/98GKADXyDkEj5ByrMiniSzr6/Pntq1yx559HE7cfy4PHujt8AKgECV7g3zHmYN + ubBSzDY9PmYZQky1gclnUBpZvYE6F2mBVXQjSSOoJ3Hvvv1yRp85owFOE/vrouvik427HoKGs6hg + Sbbr+A2R7UNKT46N2ze+8U376Ec/4oil5g8RUksqW6r6gZ88YKO8Lqxcger8hIoNeP3CAMqJlMoX + w8izV4FpcrJs45VA0msqTLBly5Zy0onz0uuJQEYZOrnnjBN4GhlAFx9n7q36CDV/SiNUbHE8iXMG + E0xMTtgwNlyxupBCkkgxj7J2Bw4csIETA8wNlokQIISogce19PxLjesmBtCSY6clVa8kjxJMw8ND + jgl1HrvQJJL8rq4uF3EAGv04BSKswk9Lyu4A8/RHeVzmPD25f+20pGqVe29safkMHUEJlXbzDHhU + G5ZscpLMnnz4Sy66CE+9nKMvO4NlDSAm0DEOHTpE4wj2nfcLTSxGyALqEPPoffqMSgt4xdkTA+gz + lG/IZNK0gzVQPw44jbNv/4FMb3f350gyfWIQDaM6QzWsqmMAFXwSifiihqbW/yFiaQl+FWEOQLK2 + 3lX9YlTnbrzxBleZkyRKUismQK+Xx66JIcePHwMcqqpt2bEs4Li5cG6ajiKlldEsUuU6hu6VbPKh + TWYJ+VzPAJ+vdHN/b/cnyRtch2/x/XH8hXLmUZ80/1f1MQDxezwRv5pJ3jeFeCzIt5C8btoXUqd9 + gbtWLLerr77aEUz2XDctxfMipjSAbueee64jtJhATqJGxIl4ApaMT0zBOGVsgGy7Xi9GkgaZI9aX + 5qmpq7WZqckMfsGbh08OpQUNq7ZVdVEANCQcC14kYsoeh3HgArRqyVlTWVdFnTqSQRlUdSVZUyGK + tIAYQJ686vZS7d3d3bZ27VqXD3jqqads+/ZH8QFqaBiZs57ePlu2ZJHzG0R8mQU1m84wY2h0aMCF + n/GEZ2pi/GT6pRqm8nnVcF91DKCxXYlk/cV06WKLqfARbqPA8cB1o8GT6k8tBKw4fRUVLinW0r0c + QUmy1P1dd91FFZDkEU6jkkBsNef2FJRfoNKytIMIX4kKZBoaGuttdnKcrHAeExCtC/gj0YAvM4er + 6D6jmn5UHQOA4wsmkrUXzY6xAyh9/cGEegFo2edWypWbQVWjJ0fgEjeSzMpNhKnAxcQgt956qx07 + 1s+zZUSvIkU9ryQQI+dslChC7d9NbCWnJabRscKhgMVrUP8wTSIYBRYQvoIJE/e4F1XZj6rDAzCh + 88OZuYw3NakxsFmbnkISuegaEKVEjTz+rpVdLrkjgmm9NCYvm47y8OcndzzpnMYEef01a1bZpZde + wpTRRQ4sMoQnH6R55ASZRXn+Lkrg86QVNGZUE0d1LOkfnyf8e67k6D6tun5UhQYIe5O2PHjRDaR0 + b+cG7rYV1b+ba18ikQOUC1OQTk/jC5QxgPLejx075lA+stkVyZUzqLKvKnnKG2hFSQ2rQCSfYMWK + FXbWWWfZPff80GULp5FwYQL6OJbeK+ZyxaOREeoGMxYlkpApCgeTW0PBiRs43LfdQavox7yPAmTf + 39/w1S1Bb+S+Zv/KEOg+qw922mjuqMWaCNUg3sTMgJPKOMygSWFyCC+88EJn7xXyyYOX6pcmkA8g + NX/vvffaE088gfkIkAZOsEHEYvYJaHUhohI7IyMnyQBOyjjYGNXCZvYQ0PsnsP19R49bDUyk0NPn + DVq4BBbQgu/K5zP7/L7gAW1No1U81Ys4n/lh3jMAF89zQex9Tyd9rbGQL2ozhWELFpC8ldzHg/T5 + Ab71pi0WTrDdm/b7C7vU7c6dO+0w5V1pAkltxQkU8Xfs2GF33323yxROUTlUbN8J9EuOntS6GEjO + 3pHD3TAAap7nlNxRmXlwcIjwrx6mibHRNLjDDNqp53rrKJzj7Yxv/NVIMhwr+nP35QsZJojN/7Bw + 3psAvyd4Hfn1ZmdrLWQtQQov3gheeAM9AIM4gTSAhGssFkT6gzGnqqXyRWhQPE7l9/b2Og0g4joV + jkQrxSsgqMz4MMTde+CgU/FiAkm6VH6YjScUTtY0NbsMozKAchTlWrS3xYGVMZ7uwGUWJf0ca6i1 + lnyXrchf/AfbI5/bkc6m7pyaHZ3Pwu/ObV5rAJ/H77uo7f3bujovjBfSxGoQJuALOZvu08yGhlEL + JRkTFweNG4xT1AlbChSQ6v5K/MpxkzTrpthfdl/3et5582gLJX0ECQPsiR8BjAx/QrmCKRBE6vzR + WPgli3EM5fSJMegjbG1NWmtbiy1tXW2ZpxdZKQNmIBGx7Byv8eUtFmho7pt95ssT0+AT5vmadxpA + fXzYUZdpa4wu/9jGtutanE2FVWVvRdicP2NBprXJQfOGSf7gnFnRr40eGdA0YYMnxyyG9CoxpAZR + +eqSdDdDgAfK0zuYKP6AxrxJW0zDBC8cPGjDaAfNDxCAVJpAjp/wBSUYQkzT2FRvV191BR1CGfOM + tNp0M3sK9NEhPIwTWoNpIg0dnq3ZFPCXs4/znP4O5zCvzlHEDwaiVp/obH/j8j/8RAwHa+LoqAN6 + ektwQZHUr5emDkq8IhyAQCSasa411OhR29OkaYXlnwXlK5y/Jn8J4uV1c+DcjB/AI3n3Xt7qRsc2 + d4IbABc4xWCnUWy8GkSZ9+fQxTXsPayhwgnQxkITda1cYZdefIWdGOyzdE3QhhuyNjeC1glyXlnS + zBGY0WN12XxKHCBk6rxe804DyMgGA2HPxra3/qgxsMSXHklZsiVkmSm8+JR69Yj58/TtM5pXaJ8S + F10EqqtNWHNdG8Oi623v8y+gsmkBl9pG1kX8YIRhTtyropcC+KEsoPYQcGqdeD+KP1Bb30L9QPsL + cVyk388cgZp6JtAxV662pt46OxbbtVuvxaGUtolYKUKzaYh5hBFqEtGQzY1RWi4QcXg53+xcF5Tf + N6+pz8nNu0SQEH4rE5d+fGPy7RvI7FpNM6BO+m3j9Y1utGvRS1ePPw2fKCsnLcAewWQDa5FUOXWN + ZO1WLltKuzZSTvNekdxAoeC39JwXx5Em0BRInhLbywdqUfUN5AGacPhq0RaYHV4rXIAf/yJfxE/Q + ptMqEWN6VB/QfoLsEuLCO+1MVhTkHI5UE2qsIWyRupBlpzNEJPVWE25793wnvs5vXmkAxfxBb2zN + xZ0f+IR5yOoVmOg1BqYPBI7Hyx49kTmSPZRzMQupBImeZMymitO2eFEbRZoGq0dKZe+lwkXEPfsO + Oi0RwXGkP5i8ET2DWer5Wap7POMNYB7gIjmWUUPS85IHEEGBDMwx4RgghDQXkOo0Tug6tqLTOSrr + qM/gVCxS47fRQtZijbwuR4HK0B70H1zZ9qGPjc703pPJzTyeyWl4FYwruzXP1vxiAI83eF3Xf3sw + Hm7wZNKjVppGlc6gynHIZsjgeSI4fy3E1g39VowNg/bFQStGaOBopmq3whrrm13hJsXAp4GBfpsA + ytV3dNBqrMGKmJDCDFPDJ9kWNtVq/jyOHWPBBP/2alPJoJhByRsNluCG9vDnopZbxHFiOx3mQN3D + YhhpH7WXBQCaNq722olnwSUen8B8nNIIIIyaoivC71796ceemPzSn/aNPPtnJyeOzzPSl09n3oSB + uqhrm7d+bXPr9RcK4lsg/EqPkYbBUYt1eqzz0qJd/h+a7WSh2zZdvdiitQEbGB0ihdtiK5d32QVb + LnJVPjWEqBVcpeBDJILGTk5bw+RK8/asNM84iaLZpRbMUw0sMRImTxSBlvEQQXhzvC+LGShQXMqH + YZCI+cLY9qka87WmbHCm2zafs8F9hjqGJ2doFsYhlYOZnS3Y4P4ZS01QmvQCG0thNnAGo37MRuT8 + KzhOzdHRXffm0RTzbc0LBpAy7YhvuP5da//Xnwf92PzpQUtPF3H8tN0bA5lXp275t5QAABjsSURB + VOzGP1tvdW1R69rYadEEc3nzc/bM7r12xaUX2zlnn/MiMFPHUtYvRN//oe4XaPSYsGT/OivM0To2 + GbV8ho2hSOG6DSOReDFLefNISCP7wfv1T02FngKaAZoGBtttrHG37T+0395w8cUuqRRiPvXUzCSf + xQxCHM4Tz81aajTDIAra1HEOSSVYqJYNLDArNZGOiyayx8eHpg7vOMMAL7sCKGC7MPn+xmvWfGRn + NFjLjlzC6YHEHZnBaiNFzSW78VYkOFyexqWOnkwhZffe/xNb0rnIzlq9hli+xeX7K4cuq2mPdfd1 + W//RIUuMdFl+MmdZOvb9efr92CzKJzRRCF+Am5chEMWsUEUVn1gDqDVfGPkQL2C6a4pLbDB7yPpH + e+3czeeQq1DhyQcQdJYoAFzhHFnGAQFSqA3glGoA5ezwrPkjQbSMzzrjm950dPapbflSulc4RO05 + MB9wg5VvXLl2r/p9XWCJZ1X7JffXxFqRRS5MaYqLjuov4YU3jdlbPtFKgoX+O0yCiOLn4j393E6b + GJ+icreK5g3y8iRqnNTq7CGYlrxzNyRST0hVAyQpBz1INUUaZfb8gRLaAPeQm4vf8Qkk0WIgNziK + iKCcK+DoJ2ttUc/bbdfO/XbrX32GrqEhVziKRINIetFWbY1axyYqi0maRwhLQ3GGFzWRsj4xzjEZ + P+ep9Vy/7BN3dTSvbQwGIs7xdCf6Gv94TRlAXbwbm97yH9sa1mwq0LiZorav5E02N2OJtdP2se9f + ba2ryxtAqJonTP53fnCn7dtz2AE1WppaXOgnglUILzWuDJ7SuTIFYgTn1LFdLJ4FxC1vGOEncROi + mBRAAyDMbnS8cIVaHtK9RH4ch82hSDA5cwADhQJxayqutUNHjtjOJ/e4ZFITu5RniSySHQHb8K5a + S7aKachRzIIomgQ7iLbJ8ViNJk01XXUXLLnp3rqadud+vsa0dx//mjJAyJ9s3bTorZ8J+ABbFrn4 + DHgKlCB0pmiX3bwWctFyDTRLBJadfXj7I9j0MTs5NGldy1ZQ+o05KXzphRTBFW6JJ7QZ9NDEEITn + H8kcD3n6EqGfmMWn5FAM9R9G4jmAtL+f0E57CPhhIrYPgIEAmc4xdCrExDBsOixjbeOXo6eCdu99 + D1BO3gHDemzF6i4kP2At68PWtVUdw/gbhH1F4OolulIK+B3ZyXJlcIXvys1rG7feKhMyH9ZrxgA+ + X9Bz/Vl/8aOaaIuT0sx0imyc32ZGSbs2B23jlUud2pdqVz7+oe332779++jg7YVYPkK+BgfQVK3f + aYBTV7NiV3PyuEV0kVf/4S8Ni5B2gB9c8sZPdpBt4PgbT2B25NG7Un5QJgCDxL2YR76BmACRt/xY + AYf1fJuam7B/vuPr9uDDPyb9PG6RGGo95LHF54es4zw6lvEJQvxOdhqTQIIwzXtnss6MbYpc/1/4 + 3m983TKAiHJR52/81pK6zZuExZ8ZBpBZmqbNWzt7YBauazbF8q4Ygx3evusBe+FQjx07cRKmyFgH + 4AyZBN2cikfqdUzu3JrOMuwhMwp0BKkTYSGmS9xwrxSvfqeORJiHP8DvKhIJ5y/i696jJI80AmYC + 2lkuReIHhzBcE7CaZah0qomFOQ9zgfP2g3vu4SNwBsEciFGDca+tfwcI4sVlc6JqpT+Eg8l5pCfY + xRxGiESTtrnpRqGHOstn/Nr9fE00QMgfbbiw8+bPB6jrnxw4jngAwGig5ap/wjo3h23VNSRuIIwm + eAydHLDuQ/02APHz1ADk2HV0tNP9u85dcL1OTKAFXfkBwUppm8qN2PZtT1tnW7sjpgv3JIosad9g + DDuvX0n4uL0GeU6IYj+mwYtWkJbQKoeJeBFiFMCgkXrCU6a/jI0MUkuYYWexjH3pK7eT/k2SCGJa + GYWoaH3ALvwAGIGmILUKQKQ4hN4gB8SMTfXTOMKx1je9KX750g/ez0dols1rtl51BlDC5w1LP3hX + OJDw9o/uox4/ZYFYwY4eO2LB2pxtvDlBClbERAq5PbLtMTuwr5vcvjSCWUdLM63YbNkKtFt/rxBf + qjpHcUh1/ZmplD30w13Y4JJtXLEBrVImvFS5bLtAxF7Uu/wKEcPxj+y/HiC48gdkMrT4SGc68mgB + aahoA6YhlAWGdvKOp3fuWMGY+d6nntpvt932Bfd6YQ1iOI4dG2N2xYfbnJ8xN1Wymna/Y4QSEcLE + sWE0TcA2tb1t9dLa86QJXrP1qjNAW3zt9Rd03nzZyHCvecn0JWpjNjo3YFOzw3bp73RaQxcUYink + e/hhiP/8YTuwd7cN9A86grcwlWvDhg0vEl9EKzMCPQIZiD89a9/79v02eqhgzT1vsIG7myw3xSwg + 9YAqjETCnYrHU1fuXtSV1y7VL3MhJsPbc48dE/KLQkE+xDmOVKt5DXmKybGPplOp7icf275VO5Ie + lInqO+Y0hrSQP+S1RecH7Mr/3GEJNML4Uew/ZiccJUmEUzjVf9Jq/G32q+v/6m2bO67/i3Jxq8yo + fNqrtl5VBgj74rEPbP7SHZDAJoYZ5JAEPEEMnvA22ZvevdXWXAW4kjMS8Q+/0G1P7nrKHtv+8JcO + PX/w3bhi1PuDTOVqcqGfVLKWCJctMRa+OGb9J4/ZF//PP1qBfb2Cj1zo7G4pOEsRCdwg+X4RykvY + h+uAviAcJPmjvYTERPiVVlD2T/90VTgu1gFqlyMKXqZf8AuMKWNjJ9KZtBsESb/g4YHj3f+Uoiz9 + 4LZtLjEkhsFjgNCkpLvydsXvN5ITAIxKijgIA0RIFJVmmG08Q+aQtPOVnR/6o5bEyvdWtJk+6dVa + ryoDXLX4I//k84VC00zqakyQ0oUBJodTFls5bpvfg0o/pXZ7acl6cNsjtvvZZ79yvK/vFiT3R6rB + r1q22LVuVwCekjStTAG4V37a7vvxNlsMuKN99hwIzfjXUWb3nMhbahygJ/klUVb23ofXr00k0MZw + ghNvJ/ViDLFGxf7LV1A5WPsNl4lTNhGjYyM7KxNA1Io2OTn6O9n09OgLR3pt9+7nbGp6yk0b03GU + aQzUzdlV/7XOlr0BHCGfKzhbtC5oecArAfoPg76Y3bjuf395VeNll4gBX831qjFATbj1Decsuv6d + 2qAhPTYJkNMsPZW1CEDOy97Xxe9l6mte3/7nCfe6X9i5f++e9+vCA9S8up7izrJly10fnyMTz2tX + UNncFL16e545aOOjk9YcbbPhZyCYb8rGD5RsuofK3TB1/QzHP6XG8xmkXwUnDiQnTwAx+Qu+sKSf + mwgPXwScMyiCSBUgvXEe83B45PizSjSVFziDmXRmZGjgokxqtnTfTx5k/sDzDnSqwdJayYaIJRbl + 7eIP1tjGd4AnACcEH6LRAKgwcNpDpjLma/Rds+zDP2xJrGpXguzVWqf9k2TbQiTEb1h3690CXAwf + 7MEZAvaVVCLG7Nxfq7OWVZrTK2kriPD29J5nJ/bveeaNADaLssMrV635s43U4pcvX+5m8Ygp9Lxw + eyL++MCM3fOdbbYkudKO3JGkmIS3PZhG0hkPg1rRlj/aIVyjXb1IP4ofCkBLmRHUQB5IWX6ORlPS + uiK+qwEoX0SOX6pfm07K9gejMBISnErPbK+oa42bnZmmkfTI0UMjgwPvU9/ATx562A2qUhexlnIV + tLQTFXhs87vqbcvN7WiACN8XpuQ7FAl5hXRuiq9K3LDmLx/riK0Pq17waqzTzgDi5os63/+Z9sS6 + 5PTAOMUR0DNciLrOkC3ZUmvr3qbOHjlWVNSAZz/40CN25NAL1w2eGBoXkesbGs6mYXO9EDia9qHl + MntS5Th0c9jRO++8x5bUddnk3V2kXekS8o5AUBw7tEokrn3/sP2oapkYJYMk5fId/IRmRVS8iC+J + x/WgPkByCGJ7SQfqWE4jiHF4v2L8LB59JN+4q0IctZPr3IU23rNnz1cGjvd+7sSJQfv2d75HiKi8 + BSNs8AgC9DSIyPG6gJ3z9ibrupgwsZ6q5iQFJJgwi+bTdaiPLFpycfst3xVI5dVYp50B+ELnXL7s + d35bNf7MTBqPmFQpV7pxU8k2vQcVQFZc/6YZyrDt4UeRpBf+5Pnnnn9cF1WJnsaW1q8VceFlczW6 + xb0aDSABkS19YgdgjSxzfp48F0llMGRm3OZGPSB0wPfj5Pl5bZCEjtv6j28rydUWMZEafuE8stOk + e0H7aM6QiB3Ae1cCSEWh1ARqAPK592AeYo1UIlOFY/6CvMrKgqNOvUbJoEMHD39obOT49u7ebvvx + jx9w29eKCRRyKmGkc65t9dvFvw4oBUaTzS9gknJcG6kb+RtL6jdfu7LhDb8iATjd67QyANLvR/Xf + q685erwfQiBF1NETLUFrXR+1aA3QbS687Pgzu3fbM7ueenznYzv/XJs56ssDz76Y4c9rx0HsaqJH + hfi6aP4I5VdKsc8fesGa+i50djU7zrzfYwx2Gqf1exCwJoT2cxx3L+lX0gdzgC1waJ65cQpQgDnk + BzrNoAwg0YayhooOMvxN5lghnZI7Sg3PjKcfke/x04XYnlr6LjMzs6Xuw0euTc9MH3v2uefsEeYN + uHFynKtQzBpT7wXZ1LC8ZJ2bYvg+XvCK2siKrW5HNF1W3cdxu3LZh77k9ypfeXrXaWWAze03fjIR + am462rOHEp/UbsB51c1rfNaxrM4RX5Led+y43Xf/PbNPPPbYG2niQEFomFPSs2rd+q+qP6+trdWu + e8t1Zc+a6+HlYhWp6t39g/ssOdFl44eox6dQw0NoGT4nh1OlEC6DapdaV44eujoP3CXmIW6Wv2UF + NxOIh+N5YZY8qGONl82l8QvSsBvmQVDvAP6KP0nvwMS0FScCnx/N9L+MKj9lAn2f0dGJVG9PzwW5 + THp6+6NPONOmnUxmwToQPjJhBI3mmUGjlP0K+SDqOsphziZ6hl2zSm2oo+4dZ33ij1/2Qa/4r6eF + ASRH9ZHFZ12x9Lc/lhMgMjsHIVB1SIDPn7P2tWzsKIJwsaT673/wh/bwA49sRVLYmBkJoGOnY9Gi + a0PB4LI1q1ba1jdudc9JwnTskrdA0qXfHr73GZv9yTJQPly8tFQ18iM17uw4zh9c4EN6JWVOtsnE + iAmFD5joQ/pnym3iKASncaQRdIwC8XqeiqTeLxtfolQdiGI6EtnhbZO3b58r/vstX3JmSQoN9B45 + /IapyYncP371DnwVNpbCjM0xeSSDxpscA+6+CMbD6XTpRu707bSj6Sh4RuER1jRe/vsdNRs4u9O3 + TgsDhPwx73s3ffaHQOFA5BykgxfbSc08k52x9rP9VtPJvrx401KNO5/aaT/+0X23zs2mHtfXFAMA + 7/Zg+29vJ+X79ne83S6/7HJ3BcQwukyjY6P22U9/2RbVdBkIbEc0Vf587B/GHnKARiC34NzK+km6 + sel+zsFl2/jGWQg/O5pFGTAxTM+jJmSHsdJOY0yPMmuAvH7F9nuWjdmR4AP21Yf+6ivPdW8vSZX/ + rKXX0Ji6u7/v6DvVXfzpz32R78xn4Au4eQPUqcIt4A3qOEfOSUkp5R0cw/E4TV7D5w1FVjVcXv7y + P+sDf8m/v+IMEPXV26bGd/xxMtSy5NjJPdg2sl6zJD0sbfFGry25GAQNhRihb7u7j1JTf+xo/9ET + H3/p+eP1/wpbwHRs2XKunXfueW4XcP1dF1ANH7fd9jXUaMqaBs533rpseCGL7WZQZAD77YegMiNQ + HFUOOoe6vzxx5f/TED+N4ycTIXYSQWQWgnENkwIaRj6ggEZx/gKHCLEjUTE+at1Dz9j+7if/emKO + UbD8+1lLzCNCM5Ty7qH+Yx85CYLoLz/1N47A+u7qW0g2M/F0JX4R16OclnZnBCaCzamUu2bVhFr+ + 5Gd91v/P319RBogwyGFz9IauzW3v/O+p1Li90PMoNXAuFlO7M74RW3Q5M3XXsa0rEqqdNnc8vtO2 + Pbjt1xn2pG/uFqPfvLX1DZ/toPlSUzjVgaMLJoIq7v/U3/6d9R8fsDe/5TJbfEufJS7vJXYXyofI + wMNYN3IvTnVDYTVrStrTU2rdIhwEqatys9Ss6gFy9gQFEwNFgXIFSPTMjgMa0ROsQIx5RM0QqCHF + LMDB7+DN94uwP++SxlLjKU2mf3fi2NFPaebAJ2/9a0rHM5wkfId5alrjtxVv5LwJBaWtHIoJ9JKf + XgSt+tDiS2PhBupY5RrJz/vZP+/rXlEG6AxuRgWHv1ATafEfHtqBMzOD1JL24qI3AJlat7XZxeJK + nuwG0fvYo9sHx0bHH6mcrC5ua3vHTczubV+9Grwfs370nC6KJPVbd/2L9Rw9am9729Vujv+unU/b + 4ZFnrBBgRHtQ1R7UKMyl9+QI7eTFiwlOds/Y4MFhGA+1Dw7Qw+vCgE+UkMowZSqXytvcJBnFiSww + sVP7B6KOYw1eq13hsaGRgVLfsb7f+3lUf+W7VO7FBBo1y14EHzs52P91jaf9h9tvJ/IBMQSjdV0R + s/olfqvvolbA+dIWSS4ChuA76F8y3+Zti53Vop7J07FeUQboCl5yWciTvCpI0mN5+CLbVHsDFS+y + XtTIz7qywxqXJRxxunt67fEdO+xIz+GXqzdfe+eiv13c2c68nkshqEJ1MolEQzt2PmV79u63m264 + HkDouD337F5webts975nbCZ4DOwdHj/EVe5eXnVBhR4eF8k/eNAMAmae7KYFfGoGRiiHfjnAGfEm + AKUwTR5vfw5c/9x4BrNCrr6BiaSLBBYFXXyw91vTU9N9ZR/kFyeD3qdexGN9fe+dGB25v+/4kH32 + c18g/KOBlc/qujpiXdfhrEL8HIkmPyjl/DRNp9QUJlMnrTGycq3/NCWGXlEGGMofug5L6wjnoWeP + MIhCR9bqiHm3vHOp42h5wI88+jgqlc2Wpyb/pXI5JbWNzc03N9TXNl133ZvdRk76m4ivMPGOb33b + rr7iMuvv67OHfrKtuH/fwe/te27/4oOHD1wm9FA2A/EoB1fUP4d7cQUijImh5TvKYMd4Uy0OYUXy + gYprw2eSUSrSOG1DjSKATQ4lKVW3MXP4eC57YrT3P1WKPy8e9Od8IOIrKtD72ceg2HP40HVMF3u8 + j/L2F29DE4Bw0jCKzi1Bu/BPaHJdRdWTCqkXrRkEKxnzsiFVLHLB6aoPKAh5xVa2NPfZjuDZHwPh + 6wvEtBN31KZp8WpvjVkYG6sLceD5g27UCqNZsoxyH9YEDi1CP9+5Wy742/OZ3qkePNlIQazYpdM+ + 8/kv2pWXvcGe3fXU5B133HEjuL+na+trR5V+BR94LFtM3eP3hq9TdbFQmEWlwwh0+FARcsfWFrGe + GECNNnr+WghLfVQKCU39nqhFuMCeqYilaRwtnAKOCDYeWwThwmk7cOyZP+of7R4Wc/yyS++tvB9N + kDvafeSapV0rH+k5Vtr82S/8vX38Dz7uBlepMbX0gXE7fJffJiliRWtpak0xki7u3+LtPz1ZwVc0 + xhzOvzC1N/WDz9MIcV5ny4ZlwThDHBI5Gjs24PFIFXvsm3d9x0aGR4Whe3B0ZPgrYgo9v7xr1Xu3 + Xrv15hveeT3OGV48sdHAwKDd9d3v2zVXXm733nPPc9/85jc3kjXcz/VMqRdghhyC6DJW6r032V76 + SCjKSBHUvb9E5w8oY4WCav5oXs0WMRuOWGDtoPWkn8rsOvajPc8df2R0X9+OMAml0IZNa1H5JKlI + ChXzpHzbccKWnLTH9vz44fv3fu2DY5Ou9P/L0v//eR/MkGMjin8OhyNXpzOFzr37dtr6detdC3qc + 7GhsGZD2MCCS51PWvjVgg1PP1/b07/1UOv3KD6J8RRlA35Qc2uzx6T1fHpw4tGfDkqtualkdtLXX + EKyz9jy51x7d+SSbNBwrkeb9zWO9PX0iPpO8fJdedvnDH/7dD4ZEfFXP+kDXfP62L9nWq660b9xx + x19897vffTfM4tSFBkZrnEtZslDdXnJ4wfSXg42Za4Otc82xAIMiayNWS8q5ZX3COs6PWX96b//3 + tn39xieeevQDPT3dXxg5OfS58ZnhW32J7LlbVl29St09MQo1ssnxjrztOvzQ/dsPfP8tw6MDuV/W + 9rsv/bIf+r46bxzK7Mz01Fdq6uqWzczlN+548knKylO2squL6aNoy+CcrdnaaBnvsPX274139x78 + n3NkEl/p9YozgHQ35RUbz/Y9788G/qk+mey9/4EfTV7x5otO/P2nbzswk539LtM3futYz5Gnp3Fy + dEFWrV5z883vvfk9nTh/Cpv6j584eg/A+8suuehPf3TPD265884774UITgfLKVRE8FKbLO98anZ8 + ajI19jn+/q0V7euPx1t9oYaOZDraEDk2/MLMHXc+cPtNRwb27VequXIRIUTJn0re1Z66KJVsCs7m + Z/x9EyeyX+k/PPLx+w7f/smRiX4AP6iFV3CJ+GIoHZc8QYGRtHcx2u4JyibxYCiSWLtmdXh2bpqG + 5ZQnTpKof/gQzvIB6z3acysdya/sybyC3+vMoc5cgTNX4MwVOHMFzlyBM1eguq7A/wVz5vLW4pFc + ugAAAABJRU5ErkJggg== + + + + + -42.338106 -42.357772 -4.000000 + 42.416807 -42.357799 -4.000000 + 42.416841 42.397145 -4.000000 + 42.416841 42.397145 -4.000000 + -42.338095 42.397145 -4.000000 + -42.338106 -42.357772 -4.000000 - 0.519270 0.062540 0.852319 - 0.519270 0.062540 0.852319 - 0.519270 0.062540 0.852319 - 0.519270 0.062540 0.852319 - 0.519270 0.062540 0.852319 - 0.519270 0.062540 0.852319 - -0.519270 0.062540 0.852319 - -0.519270 0.062540 0.852319 - -0.519270 0.062540 0.852319 - -0.519270 0.062540 0.852319 - -0.519270 0.062540 0.852319 - -0.519270 0.062540 0.852319 - 0.232335 0.038904 0.971858 - 0.232335 0.038904 0.971858 - 0.232335 0.038904 0.971858 - 0.232335 0.038904 0.971858 - 0.232335 0.038904 0.971858 - 0.232335 0.038904 0.971858 - -0.232335 0.038904 0.971858 - -0.232335 0.038904 0.971858 - -0.232335 0.038904 0.971858 - -0.232335 0.038904 0.971858 - -0.232335 0.038904 0.971858 - -0.232335 0.038904 0.971858 - 0.221220 0.213307 0.951610 - 0.221220 0.213307 0.951610 - 0.221220 0.213307 0.951610 - 0.221220 0.213307 0.951610 - 0.221220 0.213307 0.951610 - 0.221220 0.213307 0.951610 - -0.221220 0.213307 0.951610 - -0.221220 0.213307 0.951610 - -0.221220 0.213307 0.951610 - -0.221220 0.213307 0.951610 - -0.221220 0.213307 0.951610 - -0.221220 0.213307 0.951610 - 0.817018 -0.188863 0.544805 - 0.817018 -0.188863 0.544805 - 0.817018 -0.188863 0.544805 - 0.817018 -0.188863 0.544805 - 0.817018 -0.188863 0.544805 - 0.817018 -0.188863 0.544805 - -0.817018 -0.188863 0.544805 - -0.817018 -0.188863 0.544805 - -0.817018 -0.188863 0.544805 - -0.817018 -0.188863 0.544805 - -0.817018 -0.188863 0.544805 - -0.817018 -0.188863 0.544805 - 0.405354 -0.158425 0.900328 - 0.405354 -0.158425 0.900328 - 0.405354 -0.158425 0.900328 - 0.405354 -0.158425 0.900328 - 0.405354 -0.158425 0.900328 - 0.405354 -0.158425 0.900328 - -0.405354 -0.158425 0.900328 - -0.405354 -0.158425 0.900328 - -0.405354 -0.158425 0.900328 - -0.405354 -0.158425 0.900328 - -0.405354 -0.158425 0.900328 - -0.405354 -0.158425 0.900328 - 0.639861 -0.478862 -0.601056 - 0.639861 -0.478862 -0.601056 - 0.639861 -0.478862 -0.601056 - -0.639861 -0.478862 -0.601056 - -0.639861 -0.478862 -0.601056 - -0.639861 -0.478862 -0.601056 - 0.600434 -0.517036 -0.610043 - 0.600434 -0.517036 -0.610043 - 0.600434 -0.517036 -0.610043 - -0.600434 -0.517036 -0.610043 - -0.600434 -0.517036 -0.610043 - -0.600434 -0.517036 -0.610043 - 0.451540 0.142033 -0.880874 - 0.451540 0.142033 -0.880874 - 0.451540 0.142033 -0.880874 - -0.451540 0.142033 -0.880874 - -0.451540 0.142033 -0.880874 - -0.451540 0.142033 -0.880874 - 0.349044 0.021793 -0.936853 - 0.349044 0.021793 -0.936853 - 0.349044 0.021793 -0.936853 - 0.349044 0.021793 -0.936853 - 0.349044 0.021793 -0.936853 - 0.349044 0.021793 -0.936853 - -0.349044 0.021793 -0.936853 - -0.349044 0.021793 -0.936853 - -0.349044 0.021793 -0.936853 - -0.349044 0.021793 -0.936853 - -0.349044 0.021793 -0.936853 - -0.349044 0.021793 -0.936853 - 0.269360 0.014200 -0.962935 - 0.269360 0.014200 -0.962935 - 0.269360 0.014200 -0.962935 - 0.269360 0.014200 -0.962935 - 0.269360 0.014200 -0.962935 - 0.269360 0.014200 -0.962935 - -0.269360 0.014200 -0.962935 - -0.269360 0.014200 -0.962935 - -0.269360 0.014200 -0.962935 - -0.269360 0.014200 -0.962935 - -0.269360 0.014200 -0.962935 - -0.269360 0.014200 -0.962935 - 0.247397 -0.001392 -0.968913 - 0.247397 -0.001392 -0.968913 - 0.247397 -0.001392 -0.968913 - 0.247397 -0.001392 -0.968913 - 0.247397 -0.001392 -0.968913 - 0.247397 -0.001392 -0.968913 - -0.247397 -0.001392 -0.968913 - -0.247397 -0.001392 -0.968913 - -0.247397 -0.001392 -0.968913 - -0.247397 -0.001392 -0.968913 - -0.247397 -0.001392 -0.968913 - -0.247397 -0.001392 -0.968913 - 0.208411 -0.065875 -0.975820 - 0.208411 -0.065875 -0.975820 - 0.208411 -0.065875 -0.975820 - 0.208411 -0.065875 -0.975820 - 0.208411 -0.065875 -0.975820 - 0.208411 -0.065875 -0.975820 - -0.208411 -0.065875 -0.975820 - -0.208411 -0.065875 -0.975820 - -0.208411 -0.065875 -0.975820 - -0.208411 -0.065875 -0.975820 - -0.208411 -0.065875 -0.975820 - -0.208411 -0.065875 -0.975820 - -0.052307 0.139666 0.988816 - -0.052307 0.139666 0.988816 - -0.052307 0.139666 0.988816 - 0.052307 0.139666 0.988816 - 0.052307 0.139666 0.988816 - 0.052307 0.139666 0.988816 - 0.456353 0.146797 0.877606 - 0.456353 0.146797 0.877606 - 0.456353 0.146797 0.877606 - -0.456353 0.146797 0.877606 - -0.456353 0.146797 0.877606 - -0.456353 0.146797 0.877606 - 0.468072 0.069037 0.880990 - 0.468072 0.069037 0.880990 - 0.468072 0.069037 0.880990 - 0.468072 0.069037 0.880990 - 0.468072 0.069037 0.880990 - 0.468072 0.069037 0.880990 - -0.468072 0.069037 0.880990 - -0.468072 0.069037 0.880990 - -0.468072 0.069037 0.880990 - -0.468072 0.069037 0.880990 - -0.468072 0.069037 0.880990 - -0.468072 0.069037 0.880990 - 0.148718 0.059204 0.987106 - 0.148718 0.059204 0.987106 - 0.148718 0.059204 0.987106 - 0.148718 0.059204 0.987106 - 0.148718 0.059204 0.987106 - 0.148718 0.059204 0.987106 - -0.148718 0.059204 0.987106 - -0.148718 0.059204 0.987106 - -0.148718 0.059204 0.987106 - -0.148718 0.059204 0.987106 - -0.148718 0.059204 0.987106 - -0.148718 0.059204 0.987106 - -0.631818 -0.212148 0.745520 - -0.631818 -0.212148 0.745520 - -0.631818 -0.212148 0.745520 - 0.631817 -0.212148 0.745520 - 0.631817 -0.212148 0.745520 - 0.631817 -0.212148 0.745520 - 0.785866 0.486612 0.381607 - 0.785866 0.486612 0.381607 - 0.785866 0.486612 0.381607 - -0.785866 0.486612 0.381607 - -0.785866 0.486612 0.381607 - -0.785866 0.486612 0.381607 - 0.933281 0.359146 0.000000 - 0.933281 0.359146 0.000000 - 0.933281 0.359146 0.000000 - -0.933281 0.359147 0.000000 - -0.933281 0.359147 0.000000 - -0.933281 0.359147 0.000000 - -0.741331 -0.671140 -0.000003 - -0.741331 -0.671140 -0.000003 - -0.741331 -0.671140 -0.000003 - 0.741331 -0.671140 -0.000003 - 0.741331 -0.671140 -0.000003 - 0.741331 -0.671140 -0.000003 - -0.000001 -0.596360 -0.802717 - -0.000001 -0.596360 -0.802717 - -0.000001 -0.596360 -0.802717 - 0.000001 -0.596360 -0.802717 - 0.000001 -0.596360 -0.802717 - 0.000001 -0.596360 -0.802717 - -0.206341 0.378824 0.902173 - -0.206341 0.378824 0.902173 - -0.206341 0.378824 0.902173 - 0.206341 0.378824 0.902173 - 0.206341 0.378824 0.902173 - 0.206341 0.378824 0.902173 - 0.996521 0.061793 -0.055928 - 0.996521 0.061793 -0.055928 - 0.996521 0.061793 -0.055928 - 0.996521 0.061793 -0.055928 - 0.996521 0.061793 -0.055928 - 0.996521 0.061793 -0.055928 - -0.996521 0.061794 -0.055925 - -0.996521 0.061794 -0.055925 - -0.996521 0.061794 -0.055925 - -0.996521 0.061794 -0.055925 - -0.996521 0.061794 -0.055925 - -0.996521 0.061794 -0.055925 - -0.346530 0.582299 0.735422 - -0.346530 0.582299 0.735422 - -0.346530 0.582299 0.735422 - 0.346530 0.582299 0.735422 - 0.346530 0.582299 0.735422 - 0.346530 0.582299 0.735422 - -0.998207 0.051664 -0.030219 - -0.998207 0.051664 -0.030219 - -0.998207 0.051664 -0.030219 - -0.998207 0.051664 -0.030219 - -0.998207 0.051664 -0.030219 - -0.998207 0.051664 -0.030219 - 0.998207 0.051664 -0.030219 - 0.998207 0.051664 -0.030219 - 0.998207 0.051664 -0.030219 - 0.998207 0.051664 -0.030219 - 0.998207 0.051664 -0.030219 - 0.998207 0.051664 -0.030219 - -0.564027 0.605647 0.561307 - -0.564027 0.605647 0.561307 - -0.564027 0.605647 0.561307 - 0.564029 0.605647 0.561305 - 0.564029 0.605647 0.561305 - 0.564029 0.605647 0.561305 - -0.667228 0.036421 0.743963 - -0.667228 0.036421 0.743963 - -0.667228 0.036421 0.743963 - -0.667228 0.036421 0.743963 - -0.667228 0.036421 0.743963 - -0.667228 0.036421 0.743963 - 0.667229 0.036421 0.743962 - 0.667229 0.036421 0.743962 - 0.667229 0.036421 0.743962 - 0.667229 0.036421 0.743962 - 0.667229 0.036421 0.743962 - 0.667229 0.036421 0.743962 - 0.554940 0.610039 0.565592 - 0.554940 0.610039 0.565592 - 0.554940 0.610039 0.565592 - -0.554940 0.610038 0.565593 - -0.554940 0.610038 0.565593 - -0.554940 0.610038 0.565593 - 0.806322 0.145229 0.573370 - 0.806322 0.145229 0.573370 - 0.806322 0.145229 0.573370 - 0.806322 0.145229 0.573370 - 0.806322 0.145229 0.573370 - 0.806322 0.145229 0.573370 - -0.806322 0.145231 0.573370 - -0.806322 0.145231 0.573370 - -0.806322 0.145231 0.573370 - -0.806322 0.145231 0.573370 - -0.806322 0.145231 0.573370 - -0.806322 0.145231 0.573370 - 0.000000 0.000000 -1.000000 - 0.000000 0.000000 -1.000000 - 0.000000 0.000000 -1.000000 - 0.000000 0.000000 -1.000000 - 0.000000 0.000000 -1.000000 - 0.000000 0.000000 -1.000000 - 0.543098 -0.402104 0.737127 - 0.543098 -0.402104 0.737127 - 0.543098 -0.402104 0.737127 - 0.543098 -0.402104 0.737127 - 0.543098 -0.402104 0.737127 - 0.543098 -0.402104 0.737127 - -0.543099 -0.402103 0.737127 - -0.543099 -0.402103 0.737127 - -0.543099 -0.402103 0.737127 - -0.543099 -0.402103 0.737127 - -0.543099 -0.402103 0.737127 - -0.543099 -0.402103 0.737127 - 0.991330 0.131395 0.000000 - 0.991330 0.131395 0.000000 - 0.991330 0.131395 0.000000 - 0.991330 0.131395 0.000000 - 0.991330 0.131395 0.000000 - 0.991330 0.131395 0.000000 - -0.991330 0.131395 0.000000 - -0.991330 0.131395 0.000000 - -0.991330 0.131395 0.000000 - -0.991330 0.131395 0.000000 - -0.991330 0.131395 0.000000 - -0.991330 0.131395 0.000000 - 0.988469 0.151421 0.000000 - 0.988469 0.151421 0.000000 - 0.988469 0.151421 0.000000 - 0.988469 0.151421 0.000000 - 0.988469 0.151421 0.000000 - 0.988469 0.151421 0.000000 - -0.988469 0.151421 0.000000 - -0.988469 0.151421 0.000000 - -0.988469 0.151421 0.000000 - -0.988469 0.151421 0.000000 - -0.988469 0.151421 0.000000 - -0.988469 0.151421 0.000000 - 0.994488 0.104851 0.000000 - 0.994488 0.104851 0.000000 - 0.994488 0.104851 0.000000 - 0.994488 0.104851 0.000000 - 0.994488 0.104851 0.000000 - 0.994488 0.104851 0.000000 - -0.994488 0.104851 0.000000 - -0.994488 0.104851 0.000000 - -0.994488 0.104851 0.000000 - -0.994488 0.104851 0.000000 - -0.994488 0.104851 0.000000 - -0.994488 0.104851 0.000000 - 0.989554 0.008143 -0.143931 - 0.989554 0.008143 -0.143931 - 0.989554 0.008143 -0.143931 - 0.989554 0.008143 -0.143931 - 0.989554 0.008143 -0.143931 - 0.989554 0.008143 -0.143931 - -0.989554 0.008143 -0.143931 - -0.989554 0.008143 -0.143931 - -0.989554 0.008143 -0.143931 - -0.989554 0.008143 -0.143931 - -0.989554 0.008143 -0.143931 - -0.989554 0.008143 -0.143931 - 0.979714 -0.200386 0.002465 - 0.979714 -0.200386 0.002465 - 0.979714 -0.200386 0.002465 - 0.979714 -0.200386 0.002465 - 0.979714 -0.200386 0.002465 - 0.979714 -0.200386 0.002465 - -0.979714 -0.200386 0.002466 - -0.979714 -0.200386 0.002466 - -0.979714 -0.200386 0.002466 - -0.979714 -0.200386 0.002466 - -0.979714 -0.200386 0.002466 - -0.979714 -0.200386 0.002466 - 0.604363 -0.796376 0.023019 - 0.604363 -0.796376 0.023019 - 0.604363 -0.796376 0.023019 - 0.604363 -0.796376 0.023019 - 0.604363 -0.796376 0.023019 - 0.604363 -0.796376 0.023019 - -0.604364 -0.796376 0.023019 - -0.604364 -0.796376 0.023019 - -0.604364 -0.796376 0.023019 - -0.604364 -0.796376 0.023019 - -0.604364 -0.796376 0.023019 - -0.604364 -0.796376 0.023019 - 0.616553 -0.787231 0.011404 - 0.616553 -0.787231 0.011404 - 0.616553 -0.787231 0.011404 - 0.616553 -0.787231 0.011404 - 0.616553 -0.787231 0.011404 - 0.616553 -0.787231 0.011404 - -0.616553 -0.787230 0.011404 - -0.616553 -0.787230 0.011404 - -0.616553 -0.787230 0.011404 - -0.616553 -0.787230 0.011404 - -0.616553 -0.787230 0.011404 - -0.616553 -0.787230 0.011404 - 0.991330 0.131395 0.000001 - 0.991330 0.131395 0.000001 - 0.991330 0.131395 0.000001 - 0.991330 0.131395 0.000001 - 0.991330 0.131395 0.000001 - 0.991330 0.131395 0.000001 - -0.991330 0.131395 0.000001 - -0.991330 0.131395 0.000001 - -0.991330 0.131395 0.000001 - -0.991330 0.131395 0.000001 - -0.991330 0.131395 0.000001 - -0.991330 0.131395 0.000001 - 0.991330 0.131395 0.000001 - 0.991330 0.131395 0.000001 - 0.991330 0.131395 0.000001 - 0.991330 0.131395 0.000001 - 0.991330 0.131395 0.000001 - 0.991330 0.131395 0.000001 - -0.991330 0.131395 0.000001 - -0.991330 0.131395 0.000001 - -0.991330 0.131395 0.000001 - -0.991330 0.131395 0.000001 - -0.991330 0.131395 0.000001 - -0.991330 0.131395 0.000001 - 0.988469 0.151420 0.000001 - 0.988469 0.151420 0.000001 - 0.988469 0.151420 0.000001 - 0.988469 0.151420 0.000001 - 0.988469 0.151420 0.000001 - 0.988469 0.151420 0.000001 - -0.988469 0.151420 0.000001 - -0.988469 0.151420 0.000001 - -0.988469 0.151420 0.000001 - -0.988469 0.151420 0.000001 - -0.988469 0.151420 0.000001 - -0.988469 0.151420 0.000001 - 0.988469 0.151420 0.000000 - 0.988469 0.151420 0.000000 - 0.988469 0.151420 0.000000 - 0.988469 0.151420 0.000000 - 0.988469 0.151420 0.000000 - 0.988469 0.151420 0.000000 - -0.988469 0.151420 0.000000 - -0.988469 0.151420 0.000000 - -0.988469 0.151420 0.000000 - -0.988469 0.151420 0.000000 - -0.988469 0.151420 0.000000 - -0.988469 0.151420 0.000000 - 0.994488 0.104851 0.000000 - 0.994488 0.104851 0.000000 - 0.994488 0.104851 0.000000 - 0.994488 0.104851 0.000000 - 0.994488 0.104851 0.000000 - 0.994488 0.104851 0.000000 - -0.994488 0.104851 0.000000 - -0.994488 0.104851 0.000000 - -0.994488 0.104851 0.000000 - -0.994488 0.104851 0.000000 - -0.994488 0.104851 0.000000 - -0.994488 0.104851 0.000000 - 0.994488 0.104851 0.000001 - 0.994488 0.104851 0.000001 - 0.994488 0.104851 0.000001 - 0.994488 0.104851 0.000001 - 0.994488 0.104851 0.000001 - 0.994488 0.104851 0.000001 - -0.994488 0.104851 0.000001 - -0.994488 0.104851 0.000001 - -0.994488 0.104851 0.000001 - -0.994488 0.104851 0.000001 - -0.994488 0.104851 0.000001 - -0.994488 0.104851 0.000001 - 0.999483 0.032155 0.000000 - 0.999483 0.032155 0.000000 - 0.999483 0.032155 0.000000 - 0.999483 0.032155 0.000000 - 0.999483 0.032155 0.000000 - 0.999483 0.032155 0.000000 - -0.999483 0.032155 0.000000 - -0.999483 0.032155 0.000000 - -0.999483 0.032155 0.000000 - -0.999483 0.032155 0.000000 - -0.999483 0.032155 0.000000 - -0.999483 0.032155 0.000000 - 0.992640 0.008168 0.120829 - 0.992640 0.008168 0.120829 - 0.992640 0.008168 0.120829 - 0.992640 0.008168 0.120829 - 0.992640 0.008168 0.120829 - 0.992640 0.008168 0.120829 - -0.992640 0.008168 0.120828 - -0.992640 0.008168 0.120828 - -0.992640 0.008168 0.120828 - -0.992640 0.008168 0.120828 - -0.992640 0.008168 0.120828 - -0.992640 0.008168 0.120828 - 0.979715 -0.200386 -0.002064 - 0.979715 -0.200386 -0.002064 - 0.979715 -0.200386 -0.002064 - 0.979715 -0.200386 -0.002064 - 0.979715 -0.200386 -0.002064 - 0.979715 -0.200386 -0.002064 - -0.979715 -0.200386 -0.002065 - -0.979715 -0.200386 -0.002065 - -0.979715 -0.200386 -0.002065 - -0.979715 -0.200386 -0.002065 - -0.979715 -0.200386 -0.002065 - -0.979715 -0.200386 -0.002065 - -0.028102 0.003323 0.999600 - -0.028102 0.003323 0.999600 - -0.028102 0.003323 0.999600 - -0.028102 0.003323 0.999600 - -0.028102 0.003323 0.999600 - -0.028102 0.003323 0.999600 - 0.028102 0.003323 0.999600 - 0.028102 0.003323 0.999600 - 0.028102 0.003323 0.999600 - 0.028102 0.003323 0.999600 - 0.028102 0.003323 0.999600 - 0.028102 0.003323 0.999600 - 0.016715 -0.017715 0.999703 - 0.016715 -0.017715 0.999703 - 0.016715 -0.017715 0.999703 - -0.016716 -0.017716 0.999703 - -0.016716 -0.017716 0.999703 - -0.016716 -0.017716 0.999703 - -0.740345 0.086653 -0.666618 - -0.740345 0.086653 -0.666618 - -0.740345 0.086653 -0.666618 - -0.740345 0.086653 -0.666618 - -0.740345 0.086653 -0.666618 - -0.740345 0.086653 -0.666618 - 0.740345 0.086653 -0.666618 - 0.740345 0.086653 -0.666618 - 0.740345 0.086653 -0.666618 - 0.740345 0.086653 -0.666618 - 0.740345 0.086653 -0.666618 - 0.740345 0.086653 -0.666618 - -0.558580 0.610040 -0.561996 - -0.558580 0.610040 -0.561996 - -0.558580 0.610040 -0.561996 - 0.558580 0.610040 -0.561996 - 0.558580 0.610040 -0.561996 - 0.558580 0.610040 -0.561996 - 0.731484 0.086339 -0.676370 - 0.731484 0.086339 -0.676370 - 0.731484 0.086339 -0.676370 - 0.731484 0.086339 -0.676370 - 0.731484 0.086339 -0.676370 - 0.731484 0.086339 -0.676370 - -0.731485 0.086339 -0.676370 - -0.731485 0.086339 -0.676370 - -0.731485 0.086339 -0.676370 - -0.731485 0.086339 -0.676370 - -0.731485 0.086339 -0.676370 - -0.731485 0.086339 -0.676370 - 0.560387 0.605646 -0.564941 - 0.560387 0.605646 -0.564941 - 0.560387 0.605646 -0.564941 - -0.560387 0.605647 -0.564941 - -0.560387 0.605647 -0.564941 - -0.560387 0.605647 -0.564941 - 0.996839 0.061167 0.050710 - 0.996839 0.061167 0.050710 - 0.996839 0.061167 0.050710 - 0.996839 0.061167 0.050710 - 0.996839 0.061167 0.050710 - 0.996839 0.061167 0.050710 - -0.996839 0.061167 0.050710 - -0.996839 0.061167 0.050710 - -0.996839 0.061167 0.050710 - -0.996839 0.061167 0.050710 - -0.996839 0.061167 0.050710 - -0.996839 0.061167 0.050710 - -0.198904 0.382094 -0.902464 - -0.198904 0.382094 -0.902464 - -0.198904 0.382094 -0.902464 - 0.198905 0.382093 -0.902464 - 0.198905 0.382093 -0.902464 - 0.198905 0.382093 -0.902464 - -0.997989 0.052476 0.035562 - -0.997989 0.052476 0.035562 - -0.997989 0.052476 0.035562 - -0.997989 0.052476 0.035562 - -0.997989 0.052476 0.035562 - -0.997989 0.052476 0.035562 - 0.997989 0.052476 0.035562 - 0.997989 0.052476 0.035562 - 0.997989 0.052476 0.035562 - 0.997989 0.052476 0.035562 - 0.997989 0.052476 0.035562 - 0.997989 0.052476 0.035562 - -0.334210 0.583575 -0.740097 - -0.334210 0.583575 -0.740097 - -0.334210 0.583575 -0.740097 - 0.334210 0.583575 -0.740097 - 0.334210 0.583575 -0.740097 - 0.334210 0.583575 -0.740097 - 0.004682 -0.594421 0.804140 - 0.004682 -0.594421 0.804140 - 0.004682 -0.594421 0.804140 - -0.004682 -0.594421 0.804140 - -0.004682 -0.594421 0.804140 - -0.004682 -0.594421 0.804140 - 0.934241 0.356602 -0.005437 - 0.934241 0.356602 -0.005437 - 0.934241 0.356602 -0.005437 - -0.934240 0.356603 -0.005441 - -0.934240 0.356603 -0.005441 - -0.934240 0.356603 -0.005441 - -0.742471 -0.669864 0.004323 - -0.742471 -0.669864 0.004323 - -0.742471 -0.669864 0.004323 - 0.742472 -0.669863 0.004323 - 0.742472 -0.669863 0.004323 - 0.742472 -0.669863 0.004323 - -0.720152 -0.360738 -0.592663 - -0.720152 -0.360738 -0.592663 - -0.720152 -0.360738 -0.592663 - 0.720152 -0.360737 -0.592663 - 0.720152 -0.360737 -0.592663 - 0.720152 -0.360737 -0.592663 - 0.655778 0.514368 -0.552612 - 0.655778 0.514368 -0.552612 - 0.655778 0.514368 -0.552612 - -0.655779 0.514368 -0.552611 - -0.655779 0.514368 -0.552611 - -0.655779 0.514368 -0.552611 - -0.034108 0.003940 -0.999410 - -0.034108 0.003940 -0.999410 - -0.034108 0.003940 -0.999410 - -0.034108 0.003940 -0.999410 - -0.034108 0.003940 -0.999410 - -0.034108 0.003940 -0.999410 - 0.034108 0.003940 -0.999410 - 0.034108 0.003940 -0.999410 - 0.034108 0.003940 -0.999410 - 0.034108 0.003940 -0.999410 - 0.034108 0.003940 -0.999410 - 0.034108 0.003940 -0.999410 - -0.789622 -0.613579 -0.004297 - -0.789622 -0.613579 -0.004297 - -0.789622 -0.613579 -0.004297 - -0.789622 -0.613579 -0.004297 - -0.789622 -0.613579 -0.004297 - -0.789622 -0.613579 -0.004297 - 0.789621 -0.613579 -0.004296 - 0.789621 -0.613579 -0.004296 - 0.789621 -0.613579 -0.004296 - 0.789621 -0.613579 -0.004296 - 0.789621 -0.613579 -0.004296 - 0.789621 -0.613579 -0.004296 - 0.009408 0.999295 0.036345 - 0.009408 0.999295 0.036345 - 0.009408 0.999295 0.036345 - 0.009408 0.999295 0.036345 - 0.009408 0.999295 0.036345 - 0.009408 0.999295 0.036345 - -0.009408 0.999295 0.036345 - -0.009408 0.999295 0.036345 - -0.009408 0.999295 0.036345 - -0.009408 0.999295 0.036345 - -0.009408 0.999295 0.036345 - -0.009408 0.999295 0.036345 - 0.200024 0.058909 0.978019 - 0.200024 0.058909 0.978019 - 0.200024 0.058909 0.978019 - 0.200024 0.058909 0.978019 - 0.200024 0.058909 0.978019 - 0.200024 0.058909 0.978019 - -0.200024 0.058909 0.978018 - -0.200024 0.058909 0.978018 - -0.200024 0.058909 0.978018 - -0.200024 0.058909 0.978018 - -0.200024 0.058909 0.978018 - -0.200024 0.058909 0.978018 - -0.200069 -0.058961 -0.978006 - -0.200069 -0.058961 -0.978006 - -0.200069 -0.058961 -0.978006 - -0.200069 -0.058961 -0.978006 - -0.200069 -0.058961 -0.978006 - -0.200069 -0.058961 -0.978006 - 0.200070 -0.058961 -0.978006 - 0.200070 -0.058961 -0.978006 - 0.200070 -0.058961 -0.978006 - 0.200070 -0.058961 -0.978006 - 0.200070 -0.058961 -0.978006 - 0.200070 -0.058961 -0.978006 - 0.719809 0.693459 -0.031469 - 0.719809 0.693459 -0.031469 - 0.719809 0.693459 -0.031469 - 0.719809 0.693459 -0.031469 - 0.719809 0.693459 -0.031469 - 0.719809 0.693459 -0.031469 - -0.719808 0.693459 -0.031473 - -0.719808 0.693459 -0.031473 - -0.719808 0.693459 -0.031473 - -0.719808 0.693459 -0.031473 - -0.719808 0.693459 -0.031473 - -0.719808 0.693459 -0.031473 - 0.000000 -1.000000 0.000000 - 0.000000 -1.000000 0.000000 - 0.000000 -1.000000 0.000000 - 0.000000 -1.000000 0.000000 - 0.000000 -1.000000 0.000000 - 0.000000 -1.000000 0.000000 - 0.000000 -1.000000 0.000000 - 0.000000 -1.000000 0.000000 - 0.000000 -1.000000 0.000000 - 0.000000 -1.000000 0.000000 - 0.000000 -1.000000 0.000000 - 0.000000 -1.000000 0.000000 - -0.362899 -0.931822 -0.003554 - -0.362899 -0.931822 -0.003554 - -0.362899 -0.931822 -0.003554 - -0.362899 -0.931822 -0.003554 - -0.362899 -0.931822 -0.003554 - -0.362899 -0.931822 -0.003554 - 0.362899 -0.931822 -0.003554 - 0.362899 -0.931822 -0.003554 - 0.362899 -0.931822 -0.003554 - 0.362899 -0.931822 -0.003554 - 0.362899 -0.931822 -0.003554 - 0.362899 -0.931822 -0.003554 - -0.795548 -0.605841 -0.007777 - -0.795548 -0.605841 -0.007777 - -0.795548 -0.605841 -0.007777 - -0.795548 -0.605841 -0.007777 - -0.795548 -0.605841 -0.007777 - -0.795548 -0.605841 -0.007777 - 0.795548 -0.605841 -0.007777 - 0.795548 -0.605841 -0.007777 - 0.795548 -0.605841 -0.007777 - 0.795548 -0.605841 -0.007777 - 0.795548 -0.605841 -0.007777 - 0.795548 -0.605841 -0.007777 - 0.333155 -0.086435 0.938902 - 0.333155 -0.086435 0.938902 - 0.333155 -0.086435 0.938902 - -0.333156 -0.086435 0.938902 - -0.333156 -0.086435 0.938902 - -0.333156 -0.086435 0.938902 - -0.331923 0.087501 -0.939239 - -0.331923 0.087501 -0.939239 - -0.331923 0.087501 -0.939239 - 0.331924 0.087500 -0.939239 - 0.331924 0.087500 -0.939239 - 0.331924 0.087500 -0.939239 - 0.967670 0.252150 0.005932 - 0.967670 0.252150 0.005932 - 0.967670 0.252150 0.005932 - 0.967670 0.252150 0.005932 - 0.967670 0.252150 0.005932 - 0.967670 0.252150 0.005932 - -0.967670 0.252152 0.005931 - -0.967670 0.252152 0.005931 - -0.967670 0.252152 0.005931 - -0.967670 0.252152 0.005931 - -0.967670 0.252152 0.005931 - -0.967670 0.252152 0.005931 - 0.854471 0.105088 0.508759 - 0.854471 0.105088 0.508759 - 0.854471 0.105088 0.508759 - -0.854471 0.105088 0.508760 - -0.854471 0.105088 0.508760 - -0.854471 0.105088 0.508760 - 0.545541 -0.006140 0.838062 - 0.545541 -0.006140 0.838062 - 0.545541 -0.006140 0.838062 - -0.545540 -0.006139 0.838062 - -0.545540 -0.006139 0.838062 - -0.545540 -0.006139 0.838062 - -0.484381 0.874804 -0.009644 - -0.484381 0.874804 -0.009644 - -0.484381 0.874804 -0.009644 - -0.484381 0.874804 -0.009644 - -0.484381 0.874804 -0.009644 - -0.484381 0.874804 -0.009644 - 0.484382 0.874803 -0.009642 - 0.484382 0.874803 -0.009642 - 0.484382 0.874803 -0.009642 - 0.484382 0.874803 -0.009642 - 0.484382 0.874803 -0.009642 - 0.484382 0.874803 -0.009642 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 - 0.370377 -9.658644 -0.043605 + 0.039362 0.019681 -4.000000 - 0.106535 0.674318 - 0.053483 0.674318 - 0.039000 0.616054 - 0.039000 0.616054 - 0.132052 0.566054 - 0.106535 0.674318 - 0.039000 0.616054 - 0.053483 0.674318 - 0.106535 0.674318 - 0.106535 0.674318 - 0.132052 0.566054 - 0.039000 0.616054 - 0.053483 0.674318 - 0.000000 0.674318 - 0.005000 0.631054 - 0.005000 0.631054 - 0.039000 0.616054 - 0.053483 0.674318 - 0.005000 0.631054 - 0.000000 0.674318 - 0.053483 0.674318 - 0.053483 0.674318 - 0.039000 0.616054 - 0.005000 0.631054 - 0.039000 0.616054 - 0.005000 0.631054 - 0.005000 0.565290 - 0.005000 0.565290 - 0.023776 0.562790 - 0.039000 0.616054 - 0.005000 0.565290 - 0.005000 0.631054 - 0.039000 0.616054 - 0.039000 0.616054 - 0.023776 0.562790 - 0.005000 0.565290 - 0.089328 0.462790 - 0.023776 0.562790 - 0.026692 0.303739 - 0.026692 0.303739 - 0.070369 0.362025 - 0.089328 0.462790 - 0.026692 0.303739 - 0.023776 0.562790 - 0.089328 0.462790 - 0.089328 0.462790 - 0.070369 0.362025 - 0.026692 0.303739 - 0.023776 0.562790 - 0.005000 0.565290 - 0.000000 0.277652 - 0.000000 0.277652 - 0.026692 0.303739 - 0.023776 0.562790 - 0.000000 0.277652 - 0.005000 0.565290 - 0.023776 0.562790 - 0.023776 0.562790 - 0.026692 0.303739 - 0.000000 0.277652 - 0.514826 0.965643 - 0.452938 0.867239 - 0.703536 0.932155 - 0.703536 0.932155 - 0.452938 0.867239 - 0.514826 0.965643 - 0.765460 0.860111 - 0.703536 0.932155 - 0.452938 0.867239 - 0.452938 0.867239 - 0.703536 0.932155 - 0.765460 0.860111 - 0.007812 0.585938 - 0.062462 0.465492 - 0.018449 0.499100 - 0.062462 0.465492 - 0.007812 0.585938 - 0.018449 0.499100 - 0.062462 0.465492 - 0.091843 0.434470 - 0.009113 0.438927 - 0.009113 0.438927 - 0.018449 0.499100 - 0.062462 0.465492 - 0.009113 0.438927 - 0.091843 0.434470 - 0.062462 0.465492 - 0.062462 0.465492 - 0.018449 0.499100 - 0.009113 0.438927 - 0.011235 0.388276 - 0.009113 0.438927 - 0.091843 0.434470 - 0.091843 0.434470 - 0.095317 0.399521 - 0.011235 0.388276 - 0.091843 0.434470 - 0.009113 0.438927 - 0.011235 0.388276 - 0.011235 0.388276 - 0.095317 0.399521 - 0.091843 0.434470 - 0.011235 0.388276 - 0.095317 0.399521 - 0.094793 0.337142 - 0.094793 0.337142 - 0.009450 0.357155 - 0.011235 0.388276 - 0.094793 0.337142 - 0.095317 0.399521 - 0.011235 0.388276 - 0.011235 0.388276 - 0.009450 0.357155 - 0.094793 0.337142 - 0.003986 0.093465 - 0.009450 0.357155 - 0.094793 0.337142 - 0.094793 0.337142 - 0.072180 0.231650 - 0.003986 0.093465 - 0.094793 0.337142 - 0.009450 0.357155 - 0.003986 0.093465 - 0.003986 0.093465 - 0.072180 0.231650 - 0.094793 0.337142 - 0.000000 0.795889 - 0.021403 0.789235 - 0.000000 1.000000 - 0.000000 1.000000 - 0.021403 0.789235 - 0.000000 0.795889 - 0.021403 0.789235 - 0.074455 0.782582 - 0.000000 1.000000 + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + 1.000000 1.000000 0.000000 1.000000 - 0.074455 0.782582 - 0.021403 0.789235 - 0.074455 0.782582 - 0.021403 0.789235 - 0.053483 0.674318 - 0.053483 0.674318 - 0.106535 0.674318 - 0.074455 0.782582 - 0.053483 0.674318 - 0.021403 0.789235 - 0.074455 0.782582 - 0.074455 0.782582 - 0.106535 0.674318 - 0.053483 0.674318 - 0.000000 0.674318 - 0.053483 0.674318 - 0.021403 0.789235 - 0.021403 0.789235 - 0.000000 0.795889 - 0.000000 0.674318 - 0.021403 0.789235 - 0.053483 0.674318 - 0.000000 0.674318 - 0.000000 0.674318 - 0.000000 0.795889 - 0.021403 0.789235 - 0.302655 0.287404 - 0.276117 0.279873 - 0.302655 0.089352 - 0.302655 0.089352 - 0.276117 0.279873 - 0.302655 0.287404 - 0.363880 0.313404 - 0.302655 0.287404 - 0.302655 0.089352 - 0.302655 0.089352 - 0.302655 0.287404 - 0.363880 0.313404 - 0.425692 0.256748 - 0.363880 0.313404 - 0.302655 0.089352 - 0.302655 0.089352 - 0.363880 0.313404 - 0.425692 0.256748 - 0.302655 0.089352 - 0.276117 0.279873 - 0.231430 0.287404 - 0.231430 0.287404 - 0.276117 0.279873 - 0.302655 0.089352 - 0.744445 0.984303 - 0.673215 0.744254 - 0.788991 0.741336 - 0.788991 0.741336 - 0.673215 0.744254 - 0.744445 0.984303 - 0.445984 0.384379 - 0.302655 0.800438 - 0.357704 0.414978 - 0.357704 0.414978 - 0.302655 0.800438 - 0.445984 0.384379 - 0.425692 0.256748 - 0.445984 0.384379 - 0.357704 0.414978 - 0.357704 0.414978 - 0.363880 0.313404 - 0.425692 0.256748 - 0.357704 0.414978 - 0.445984 0.384379 - 0.425692 0.256748 - 0.425692 0.256748 - 0.363880 0.313404 - 0.357704 0.414978 - 0.274526 0.415620 - 0.302655 0.800438 - 0.226851 0.448971 - 0.226851 0.448971 - 0.302655 0.800438 - 0.274526 0.415620 - 0.276117 0.279873 - 0.274526 0.415620 - 0.226851 0.448971 - 0.226851 0.448971 - 0.231430 0.287404 - 0.276117 0.279873 - 0.226851 0.448971 - 0.274526 0.415620 - 0.276117 0.279873 - 0.276117 0.279873 - 0.231430 0.287404 - 0.226851 0.448971 - 0.302615 0.416103 - 0.302655 0.800438 - 0.274526 0.415620 - 0.274526 0.415620 - 0.302655 0.800438 - 0.302615 0.416103 - 0.302655 0.287404 - 0.302615 0.416103 - 0.274526 0.415620 - 0.274526 0.415620 - 0.276117 0.279873 - 0.302655 0.287404 - 0.274526 0.415620 - 0.302615 0.416103 - 0.302655 0.287404 - 0.302655 0.287404 - 0.276117 0.279873 - 0.274526 0.415620 - 0.357704 0.414978 - 0.302655 0.800438 - 0.302615 0.416103 - 0.302615 0.416103 - 0.302655 0.800438 - 0.357704 0.414978 - 0.363880 0.313404 - 0.357704 0.414978 - 0.302615 0.416103 - 0.302615 0.416103 - 0.302655 0.287404 - 0.363880 0.313404 - 0.302615 0.416103 - 0.357704 0.414978 - 0.363880 0.313404 - 0.363880 0.313404 - 0.302655 0.287404 - 0.302615 0.416103 - 0.731338 0.846671 - 0.619488 0.944390 - 0.520716 0.846050 - 0.520716 0.846050 - 0.619488 0.944390 - 0.731338 0.846671 - 0.468618 0.727892 - 0.530877 0.663934 - 0.778143 0.663263 - 0.778143 0.663263 - 0.777859 0.723579 - 0.468618 0.727892 - 0.778143 0.663263 - 0.530877 0.663934 - 0.468618 0.727892 - 0.468618 0.727892 - 0.777859 0.723579 - 0.778143 0.663263 - 0.063600 0.355149 - 0.052797 0.356290 - 0.052762 0.317464 - 0.052762 0.317464 - 0.063566 0.316323 - 0.063600 0.355149 - 0.052762 0.317464 - 0.052797 0.356290 - 0.063600 0.355149 - 0.063600 0.355149 - 0.063566 0.316323 - 0.052762 0.317464 - 0.047930 0.434674 - 0.045265 0.434956 - 0.052797 0.356290 - 0.052797 0.356290 - 0.063600 0.355149 - 0.047930 0.434674 - 0.047930 0.434674 - 0.063600 0.355149 - 0.052797 0.356290 - 0.052797 0.356290 - 0.045265 0.434956 - 0.047930 0.434674 - 0.063566 0.316323 - 0.052762 0.317464 - 0.051196 0.278728 - 0.051196 0.278728 - 0.062000 0.277587 - 0.063566 0.316323 - 0.051196 0.278728 - 0.052762 0.317464 - 0.063566 0.316323 - 0.063566 0.316323 - 0.062000 0.277587 - 0.051196 0.278728 - 0.062000 0.277587 - 0.051196 0.278728 - 0.071843 0.252477 - 0.071843 0.252477 - 0.087419 0.246785 - 0.062000 0.277587 - 0.071843 0.252477 - 0.051196 0.278728 - 0.062000 0.277587 - 0.062000 0.277587 - 0.087419 0.246785 - 0.071843 0.252477 - 0.094793 0.337142 - 0.152777 0.411357 - 0.168633 0.328453 - 0.168633 0.328453 - 0.072180 0.231650 - 0.094793 0.337142 - 0.168633 0.328453 - 0.152777 0.411357 - 0.094793 0.337142 - 0.094793 0.337142 - 0.072180 0.231650 - 0.168633 0.328453 - 0.452938 0.867239 - 0.394296 0.819077 - 0.777519 0.807470 - 0.777519 0.807470 - 0.765460 0.860111 - 0.452938 0.867239 - 0.777519 0.807470 - 0.394296 0.819077 - 0.452938 0.867239 - 0.452938 0.867239 - 0.765460 0.860111 - 0.777519 0.807470 - 0.394296 0.819077 - 0.468618 0.727892 - 0.777859 0.723579 - 0.777859 0.723579 - 0.777519 0.807470 - 0.394296 0.819077 - 0.777859 0.723579 - 0.468618 0.727892 - 0.394296 0.819077 - 0.394296 0.819077 - 0.777519 0.807470 - 0.777859 0.723579 - 0.545960 0.737149 - 0.533051 0.738513 - 0.533016 0.699686 - 0.533016 0.699686 - 0.545926 0.698323 - 0.545960 0.737149 - 0.533016 0.699686 - 0.533051 0.738513 - 0.545960 0.737149 - 0.545960 0.737149 - 0.545926 0.698323 - 0.533016 0.699686 - 0.078950 0.362536 - 0.066040 0.363900 - 0.066006 0.325074 - 0.066006 0.325074 - 0.078915 0.323710 - 0.078950 0.362536 - 0.066006 0.325074 - 0.066040 0.363900 - 0.078950 0.362536 - 0.078950 0.362536 - 0.078915 0.323710 - 0.066006 0.325074 - 0.538429 0.815815 - 0.535245 0.816151 - 0.533051 0.738513 - 0.533051 0.738513 - 0.545960 0.737149 - 0.538429 0.815815 - 0.533051 0.738513 - 0.535245 0.816151 - 0.538429 0.815815 - 0.538429 0.815815 - 0.545960 0.737149 - 0.533051 0.738513 - 0.081144 0.440175 - 0.077960 0.440511 - 0.066040 0.363900 - 0.066040 0.363900 - 0.078950 0.362536 - 0.081144 0.440175 - 0.066040 0.363900 - 0.077960 0.440511 - 0.081144 0.440175 - 0.081144 0.440175 - 0.078950 0.362536 - 0.066040 0.363900 - 0.545926 0.698323 - 0.533016 0.699686 - 0.531450 0.660951 - 0.531450 0.660951 - 0.544360 0.659587 - 0.545926 0.698323 - 0.531450 0.660951 - 0.533016 0.699686 - 0.545926 0.698323 - 0.545926 0.698323 - 0.544360 0.659587 - 0.531450 0.660951 - 0.078915 0.323710 - 0.066006 0.325074 - 0.064440 0.286338 - 0.064440 0.286338 - 0.077349 0.284974 - 0.078915 0.323710 - 0.064440 0.286338 - 0.066006 0.325074 - 0.078915 0.323710 - 0.078915 0.323710 - 0.077349 0.284974 - 0.064440 0.286338 - 0.544360 0.659587 - 0.531450 0.660951 - 0.524471 0.626450 - 0.524471 0.626450 - 0.565006 0.633337 - 0.544360 0.659587 - 0.524471 0.626450 - 0.531450 0.660951 - 0.544360 0.659587 - 0.544360 0.659587 - 0.565006 0.633337 - 0.524471 0.626450 - 0.077349 0.284974 - 0.064440 0.286338 - 0.038315 0.255393 - 0.038315 0.255393 - 0.070370 0.250474 - 0.077349 0.284974 - 0.038315 0.255393 - 0.064440 0.286338 - 0.077349 0.284974 - 0.077349 0.284974 - 0.070370 0.250474 - 0.038315 0.255393 - 0.138388 0.477960 - 0.089328 0.462790 - 0.070369 0.362025 - 0.070369 0.362025 - 0.079744 0.349525 - 0.138388 0.477960 - 0.070369 0.362025 - 0.089328 0.462790 - 0.138388 0.477960 - 0.138388 0.477960 - 0.079744 0.349525 - 0.070369 0.362025 - 0.202133 0.292287 - 0.192672 0.429440 - 0.138388 0.477960 - 0.138388 0.477960 - 0.079744 0.349525 - 0.202133 0.292287 - 0.138388 0.477960 - 0.192672 0.429440 - 0.202133 0.292287 - 0.202133 0.292287 - 0.079744 0.349525 - 0.138388 0.477960 - 0.743162 0.688317 - 0.685122 0.973296 - 0.566040 0.649246 - 0.566040 0.649246 - 0.685122 0.973296 - 0.743162 0.688317 - 0.263036 0.280939 - 0.264032 0.406620 - 0.312696 0.417428 - 0.312696 0.417428 - 0.316854 0.288856 - 0.263036 0.280939 - 0.312696 0.417428 - 0.264032 0.406620 - 0.263036 0.280939 - 0.263036 0.280939 - 0.316854 0.288856 - 0.312696 0.417428 - 0.264032 0.406620 - 0.298822 0.799870 - 0.312696 0.417428 - 0.312696 0.417428 - 0.298822 0.799870 - 0.264032 0.406620 - 0.316854 0.288856 - 0.312696 0.417428 - 0.359556 0.429365 - 0.359556 0.429365 - 0.424466 0.285587 - 0.316854 0.288856 - 0.359556 0.429365 - 0.312696 0.417428 - 0.316854 0.288856 - 0.316854 0.288856 - 0.424466 0.285587 - 0.359556 0.429365 - 0.312696 0.417428 - 0.298822 0.799870 - 0.359556 0.429365 - 0.359556 0.429365 - 0.298822 0.799870 - 0.312696 0.417428 - 0.424466 0.285587 - 0.359556 0.429365 - 0.441712 0.386397 - 0.441712 0.386397 - 0.424747 0.282557 - 0.424466 0.285587 - 0.441712 0.386397 - 0.359556 0.429365 - 0.424466 0.285587 - 0.424466 0.285587 - 0.424747 0.282557 - 0.441712 0.386397 - 0.359556 0.429365 - 0.298822 0.799870 - 0.441712 0.386397 - 0.441712 0.386397 - 0.298822 0.799870 - 0.359556 0.429365 - 0.239880 0.281814 - 0.218310 0.474551 - 0.264032 0.406620 - 0.264032 0.406620 - 0.263036 0.280939 - 0.239880 0.281814 - 0.264032 0.406620 - 0.218310 0.474551 - 0.239880 0.281814 - 0.239880 0.281814 - 0.263036 0.280939 - 0.264032 0.406620 - 0.218310 0.474551 - 0.298822 0.799870 - 0.264032 0.406620 - 0.264032 0.406620 - 0.298822 0.799870 - 0.218310 0.474551 - 0.701994 0.945955 - 0.504026 0.716056 - 0.781892 0.698533 - 0.781892 0.698533 - 0.504026 0.716056 - 0.701994 0.945955 - 0.323106 0.090990 - 0.424466 0.285587 - 0.424747 0.282557 - 0.424747 0.282557 - 0.424466 0.285587 - 0.323106 0.090990 - 0.239880 0.281814 - 0.263036 0.280939 - 0.323106 0.090990 - 0.323106 0.090990 - 0.263036 0.280939 - 0.239880 0.281814 - 0.263036 0.280939 - 0.316854 0.288856 - 0.323106 0.090990 - 0.323106 0.090990 - 0.316854 0.288856 - 0.263036 0.280939 - 0.316854 0.288856 - 0.424466 0.285587 - 0.323106 0.090990 - 0.323106 0.090990 - 0.424466 0.285587 - 0.316854 0.288856 - 0.168633 0.328453 - 0.152777 0.411357 - 0.198779 0.439394 - 0.198779 0.439394 - 0.216442 0.289627 - 0.168633 0.328453 - 0.198779 0.439394 - 0.152777 0.411357 - 0.168633 0.328453 - 0.168633 0.328453 - 0.216442 0.289627 - 0.198779 0.439394 - 0.112195 0.831241 - 0.109314 0.818361 - 0.094434 0.817823 - 0.094434 0.817823 - 0.085375 0.842289 - 0.112195 0.831241 - 0.094434 0.817823 - 0.109314 0.818361 - 0.112195 0.831241 - 0.112195 0.831241 - 0.085375 0.842289 - 0.094434 0.817823 - 0.074511 0.367883 - 0.088195 0.364160 - 0.110905 0.384313 - 0.110905 0.384313 - 0.070370 0.377427 - 0.074511 0.367883 - 0.110905 0.384313 - 0.088195 0.364160 - 0.074511 0.367883 - 0.074511 0.367883 - 0.070370 0.377427 - 0.110905 0.384313 - 0.425692 0.256748 - 0.488922 0.205403 - 0.538697 0.273372 - 0.538697 0.273372 - 0.445984 0.384379 - 0.425692 0.256748 - 0.538697 0.273372 - 0.488922 0.205403 - 0.425692 0.256748 - 0.425692 0.256748 - 0.445984 0.384379 - 0.538697 0.273372 - 0.424747 0.282557 - 0.441712 0.386397 - 0.591778 0.238366 - 0.591778 0.238366 - 0.472367 0.189966 - 0.424747 0.282557 - 0.591778 0.238366 - 0.441712 0.386397 - 0.424747 0.282557 - 0.424747 0.282557 - 0.472367 0.189966 - 0.591778 0.238366 - 0.057513 0.512459 - 0.049058 0.578108 - 0.039640 0.574049 - 0.039640 0.574049 - 0.056049 0.519427 - 0.057513 0.512459 - 0.039640 0.574049 - 0.049058 0.578108 - 0.057513 0.512459 - 0.057513 0.512459 - 0.056049 0.519427 - 0.039640 0.574049 - 0.499358 0.679896 - 0.777380 0.663420 - 0.781892 0.698533 - 0.781892 0.698533 - 0.504026 0.716056 - 0.499358 0.679896 - 0.781892 0.698533 - 0.777380 0.663420 - 0.499358 0.679896 - 0.499358 0.679896 - 0.504026 0.716056 - 0.781892 0.698533 - 0.069538 0.577895 - 0.058433 0.579511 - 0.056953 0.544807 - 0.056953 0.544807 - 0.066011 0.547287 - 0.069538 0.577895 - 0.056953 0.544807 - 0.058433 0.579511 - 0.069538 0.577895 - 0.069538 0.577895 - 0.066011 0.547287 - 0.056953 0.544807 - 0.066011 0.547287 - 0.056953 0.544807 - 0.052943 0.509148 - 0.052943 0.509148 - 0.059953 0.510604 - 0.066011 0.547287 - 0.052943 0.509148 - 0.056953 0.544807 - 0.066011 0.547287 - 0.066011 0.547287 - 0.059953 0.510604 - 0.052943 0.509148 - 0.488922 0.205403 - 0.693719 0.033812 - 0.538697 0.273372 - 0.538697 0.273372 - 0.693719 0.033812 - 0.488922 0.205403 - 0.472367 0.189966 - 0.591778 0.238366 - 0.699219 0.078125 - 0.699219 0.078125 - 0.591778 0.238366 - 0.472367 0.189966 - 0.049058 0.578108 - 0.035539 0.544784 - 0.038295 0.567742 - 0.038295 0.567742 - 0.039640 0.574049 - 0.049058 0.578108 - 0.038295 0.567742 - 0.035539 0.544784 - 0.049058 0.578108 - 0.049058 0.578108 - 0.039640 0.574049 - 0.038295 0.567742 - 0.089328 0.462790 - 0.039000 0.616054 - 0.023776 0.562790 - 0.023776 0.562790 - 0.039000 0.616054 - 0.089328 0.462790 - 0.132052 0.566054 - 0.039000 0.616054 - 0.089328 0.462790 - 0.089328 0.462790 - 0.039000 0.616054 - 0.132052 0.566054 - 0.731338 0.846671 - 0.520716 0.846050 - 0.513325 0.738648 - 0.513325 0.738648 - 0.733513 0.740742 - 0.731338 0.846671 - 0.513325 0.738648 - 0.520716 0.846050 - 0.731338 0.846671 - 0.731338 0.846671 - 0.733513 0.740742 - 0.513325 0.738648 + 0.000000 0.000000 - - iVBORw0KGgoAAAANSUhEUgAAAQAAAAEACAIAAADTED8xAAAgAElEQVR4nOy9SaykWXYeds4d/iHm - ePHGfJkvK6fOmqureubYaJItU6Ql0QIk0JYFwTBgyEvttLABbwwvtPHG3lgwTAEGDcESKVOixCbZ - TbK72ENVddeQVZXzm4eYh3+8wzle/JnJYrE6M4s1dDaRH3LxEBnx4r6I8535nIt/8Ad/AADM7L0n - IgBQSoVhWK/XG41GvV6v1+tRFGmtlVKIiIjwGI/xNwXKe8/MRGSMqQiAiEVRGGMQUWsdBEEl/cz8 - WPof428YlLW24oD3vnqo4oP3viJAGIbee++9EEII8ZM97mM8xscL5ZyrFP/7QERJkmitoygKw1Br - XRFDSvnpn/IxHuMTgqi8Gmb+q//nvZ/P5/P53BhzjycfyJbHeIyfUoj7a3RjzGQyWSwWladUSf8H - suUxHuOnEcJ7X3HgxwW4aZqOx+OiKCojwMyPCfAYf2OgnHNCiPukd7z30+m03W7Hcay1FkJIKR9n - hB4exrn98ajSMo5dgDKOoiTLUIhAh85Yaw2iCHUABLktPflQBYjOOyeU1lJKFNbbIAgKY4x3NRWA - wNJYgQiAYaC9d3EQEHBRummaACIRI4CWUinpmQMhM2cRoFOr5daw8WEUSynLsmSAVr2eJYkn8uyd - d0yADIyopRTSFDZhHyrynrXz4MmxQFBQOteu19saAwkCERDgrneACPeUJPO9R6G3+oSU6if1RXwg - FAAQESJWMv2B2r0sy/F43G63wzCUUt6fMI/xPuyMhv/8t35rudmYmixNk06zFSrdX0ylECtRq1Qw - SxartVarHltyxvikLDa6y4GENM1uj/rNIFaIkUQLVDg7LBJi3mz3jqezUkKeF+xcq9Gsh2pe5KPE - KsCyLKSUZ5rtrXYvFLw/Ga42O3uLmXHl+d5SUtpLSxutZmuSlrlxrUg2tTzaP2rU2wGVSZbtjE56 - jfZwMosizE1/Or61sfozrshGo0UYdOI69WfzoFffK/Lz7fYvbcbrHVFTAQlkcMBMTAIFAwMDMzrn - mYGAvOBf+/v/PK61f9JfyF/CHTpWcv/jfBsims1mk8mkVqtVBYG7RH9MgwdDAbJjkfvNsPH2fHqz - WCyvL2HcAuLj+RSzAj1d3TusyXitt/Ts1pMLzDBDJtOEcCvogZKzZD4Dl1k7taUhKG1xML8Vgliw - FTJUUg7mI2DyQmZehEr6ENI0PSrnN/MpOEpcFs+OG0GcZulRPqiJxrgsBteH7UY9zfJAuCeb56bT - sRtc7TguMruTDK9nZm3zzJ+/+Vqvu7689Ow7g6MGhuP+Ua2Z9zBu1+oQBV/sbLRDKWSBSoggYATw - jABAhIgAzMRCoEIEBgISzACPnMA8rD0yxoxGo263G0XR4xjgQyEQ6mytc/bUmrXGG/u6goErnxLN - dCm0tgxzu9zsXmivWs9xiP/13/qZ+XzODCig1+vO5uO8IGt9t9OdjKdpkqMAHYX/87/5P2+cHJrZ - rBY1zm6euX54bBDWa20nxCAZPr965oVLX3Einy1SQxqYc3Zp7l3Dluw848nMp2U4SXNLZIvs6PBK - pOTR0XYvakRR7eZR3ybpkvQTRC4n53pPv/zWG02pJoe3MQqiftRaWV+Pz19cOT0s5zObL3NMTAQg - AAEq9VilCpGZEIGBgVjAo6gvlRDiYTKbzLxYLMbjcbPZVEpJKR+r/4dEPY57UTQZT+bphJ0PlYpB - FWnGsYxUIGl+1D9QcW1rYyOwjqUAhcwuCGMWQuooFtQUqhYFeU2DIBXLug42RXNSSHQNyGC6N6EE - A2eASqGhnvhL59pfuXgxzedFI8mNjKOo3lDj0Rg8NxrtKMbBaE5sW41WmsyNCAU7AXKyGHUabVPY - SbGYTebLyxu7J7uZzcwcvnb5FxezxZ5tDMyEas2RJMHFH492CHyz3l5xwmsVsEPwkhgZmAUDAxIw - Igpm/8gqTRUEQVmWD3M+a+1wOOz1emEYVmHDYw48DBz5HOx0uggCXFle3rfZAGypsAWkmMOgjhRo - ERaJk0IqiZ126/j4eLm7HCo9d85aElp6D3lpyLoglM7786fPfebUueN5cdQfrnc6Bfj+NL92852N - peVSLdd062TQT0prk6SkrGG6/blhb8ByakvqJ9ahdyZNUmCLOlLkGvUmG5MkJ41gqROqUtoAF2sR - hI2W81ZLLVr13ZC3R6xDEdZiUOoNZXeSyY92xuGRDHVYJyela+ooUPxPVtc2tQZRZUoEeYsCAB/F - NgJVlXiNMQ/kADMnSTIcDisj8DgMeEhMptOdw+NWo1lY2j7sc1tvxnEzVFqq+qnNzrlapKMwqAVK - a5ffHg26zfqt0UQvL2GSH40NIbFLwkZtkRWuNMoUgQpkHHnG1VZz6XR7PJxoD1ud1vLqc7M8c2yx - KV1AEoANhTIitqGWRUZhIMJYGmwQ5CjIASsG78B7m0+mSDhPihyGjnIA6p9Mo0Bk1jnHhHlcqy2v - dNaXn45qNc/AAPvl/GZuHFBpCBBPCKTL/vGZ51+eTW/rxam1phAKAQAcCAB4RNWlEkIEQUBE1toH - Pts5NxwOl5eXwzCsckGP86EPRBSES3ErlFpKWUQxKYpqjY5SkVZxpAMdx1EjCmtREK40VpMs/ca3 - vl0meafbW+uszWwGKMvCK3QY1BMPyWJKdmqb4aIorc0KV+QxpsYndubQJUGx8Pn12cQc39yod2Z2 - 3h8NtrqXmJwpCgkgtCIitBl4H6AUggRHVpRahRKMJ1P3EQMrrbWDCDULQegkAHulQ9ludBSpIIqU - DuR+gsYJYM+m+ku95x8c7c4ABk3NrKVAIn5IH/snBQUAFQeY2Tl3/2dXRmAwGLRarcf9oQ8JJeV6 - t7e6trqYz4Mouskz1CIQWutAyUBJraRQQEpAzibpz37t5796deetP/rmN3/1639bBcgkUClLLi/y - 0pUOOCdfEpdgSygteePyjDKDLhdFKUomLoCWVpsAAC6YZbCb3LqwsnmUT4xJFIVofW7KgHG5eXqe - jcpyaEGEGCiBoQgn+UApL3WDjTOmEIihrhOXguWAJqo2ISUijCSoUWl1kVlkZAWAwMwg3xofAZLt - LTu2mjQAEJEQgpkezTDgThaokmYieiBZnXODwWB5eblqk64efEyD+0CiOLOygcSoo/W1tdnCp2Wm - lZYqkBhrpQVWDSkKSA2L6Xf+n3955c1Xn/vir8xUCJH31kgMnCmIfJ4X5L0zxltLxOTZOuuJgME7 - jwQIiMSIeJCMU7KeiEM9Dl2UD/pBCqq0kCISh2CBjvktF6BUlgEcETBvtJYm8bzMU+aAVClQhkIt - NVf7g4OzK6etzafWgCemFBiQQYQggZEFABCTFB5YGEdZMUHovFfemVmIR1FI/iINWs3BFEXxQKam - aVoZgSoXVPVIP+bAj0MYBkutdhxFOsRmO35zuhMGWqmwqWNA0FJGOtAq0kpKqVWt/ievvLb92o82 - z76QTmdkvCPnvbPO5MkiBJjkifPelqWxZVGWuSsKV6ZUlr4srS0dJD4XzgqyAXsiskxEdnN9bZRO - U8sE7MkBg3QeWPza01+7Pe+bbLzZOhvpaMHmtd3vbdZ6p5Yv2MJcW9wiHoXN0Bhx3R4zAwshgUkg - AEsHjAwMggkZFAsngRkl+KmZEhEC/IUkIcLdavEjhb8gACIqdScpdP/XeO+rdFAQBPccocfu0I9D - o1FbWa0554kJPAuQQkVaqobQJIUOwyAIlNJKa6W1kkq4qFs7Dc51a1rUdcFiliy4lLoN3pQR1Eqb - ecDN7kooZFr6Gedv7F5rOH7p5GjhyqEWb9ejDJwHZmRix0yvHN4gQvQgWQbsvPeWgJ2ZHF2V6OUi - 6TUXa42GVdE777pf/8IXI2wGjObtwbX+YZEnMjVITCCAmSUFwEzk8I54E0q2JIxZrq8nlKXKzm3h - /B1XgpkRQdxVlI8a/lIhTAihtfbePzAYuGcEarXaY+m/P6xzIgoD56KgMZ2MO43GnDEOIqtlrMMg - CIIgEKi00lprZ/nnvvi1f/a//d1/+a9/C4knSZp49t4a65rSThaTy61aXsjD1I5P5jlQ4V1Ua50R - K1yYxmuvNBfTpaDx+rNPivZKrR5mRQYqAmWzbB6HQV4aAmlAcyAFEpF8OdmXzATi6vGP/MFrzF5E - /L+//tsAzF4rD1jDnBC0VrlnJSyQdEDADBg4y1W7A2Ek5NnWxc3G2o9G2wkmGTvrXdUvgJXi58oc - PHJC8v5KsJQyCIJqRuw+LyOi0WhUGYF7BYHHHPhAlMbuHw/JeK0SGcKsyOq1bqCU0FppLRUAKwZm - ZiLfbrc3TstZsT+b9JMERRgHJRoufTFLD69cvHDu6y+tAgY3Doa/843vl8assJtOubW0eu2knD7x - c7uH148Xk3Te+dtbWxKsDeoIshY3UpUnWTlh7wha9XqSpdY7ynIIJQnUHjNbWCLUyrgAxZwZ02LO - irN59rMvPfv9H3wnPdzn00u4VLOUoNRItrt89mR+GFiImk3pys2VFQMYAyCIxBTe3emJq/Sj9x6F - fK9P9IjgA1ohpJRaa2PMfV7GzFmW9fv9ZrN5LxJ4bAc+EEVeCMTOcvekP6SScms7WgU6qLHcv/b2 - O+/86Nf/4X+rg0hrjYCtVv3l73/3t//V/xEvr/2tbmMxv7Z38M1mbet077n5bjabL7b3SgYxHFMd - 03QxCuvB8TQ/yfSZmjDhZLzcGXghQ3U6zpqLg+bq6fngZDXIilbdZfT7b4/THHycS3bElo3zOTZj - qbyqBbWE0sCQD6AWd7tLS9dubrfDxnXep3m+kerRzF2+tFIPW2G9eWXQtwyb+lzx7lE9GW4+d2py - HH7/O99Yf+7iql8dXH87f74zy/Kldl1JWWnSR9P/gQ8kwD1H6N6U8AeCiMbjca/Xi6KoMgKPCfCB - aLZbpacwDJWEldWVwYmVOlIqXAriV3cO/vD3/ujrv/GPG/VmVVeZzxfzmUsmorNamx5u773z7aT4 - k+N8NN/6J2U/m4xv/avfvUZs41rzqVOr81SUSTkcpAed8e5i+MWNZ0bl9ca5ZZBKhatyOmYSIuXM - 5Umj2bTms8uxo1gF2lsfysgQ5fk4Uq2iNPV2fZhELZZe2iKToSsb2E0sXkUu2Pua/+K5pWdPdXq9 - pRTVnx/ONtpnpNBn1k5tnxycUyqdHxCboNk8eftdTz4jmzpmAKyaQgHhUeXABzfDVUagGn/5ca9k - 5qIohsNhu92WUlZDAo858FdRGiuk6na6g0F/udOJx6MwiHQQFJGCOCotCZRSKSUlIJ46tfnii5/7 - r37tP//db/0noUVS1F9/a2p4R8Zzbm7Vw+YTL6wuiqTwOBfMveXt/UO0GY5Hz7/wUjJOW6tP3pjt - PdPpra1sunavdGnj+edNlqKAkzT53vGNspg1a/UwiJIyc75QtKgLxkgJN0UpTqzVnmQQra92czFx - upYfww9uXcF0/JWnLpUgF7lNyS5O0mXKXMuUKhCqm2Nd9lZXGi2xwLDWqZ+WuZuWpWVggUiMxHSn - HPbIeUA/hgCIWMn0/aNhIppOp9PpNIqiexyAx8HAXwYS2yw9GRwxw5s3dtLStHtaKQVKSK00Clca - ZrbOAYAQamW98x//5N+/3d9HJa9du9mGr/7+nw1XVvLP/cyqEV4X7bjAxLpxOZ8Ns42gdvHzz47+ - 8BvJtXdWWvWtWvzaqEgo+9P9HaFEQCQokchaycLBggqjClGLCyy1VEKE06lyAZIx3bg9L4YspWfV - jJS3LlPNwpiV1c7to6N6uLIrTl2dJvVUuCLd3LrUUKo/GvUXw+e/9MXbyQBibkfd4zxtd0Vp5yCA - QXrvQWmBAojJk1KP4jqFH9sOXU1+3T8aZuY8z0ejUbvd1lpXtIE7ma/HHLiDZrNWFNnRwTQKIwSD - gKrqpxUqy3Ih1a2bN9Y3NwGYPDly2/v7L//oh//9P/sfGjJeiuvzYrx15vLJbFqSTcu8MLb02ZzT - 0svIiHeuXnntrcmtd69dSCWcPnUyu9HsNjPn/3Dnlh0ugtwrkdjL+gsrzz7V3vq5Fz//f1/9PSPo - ixuf7zbqv/vWH0/UuCwtOFYDlA6IiRBpaoEAhUbisixEXW2unTl95pxhiCN9eDTcpLki+uHBK597 - 6fJW48LL372+EuvPv/if/V9/+nvHoxNxUQs2TotqFkwqycxCEArx6CWBfjwBqrj2x82I3UM1MDmb - zeI4rszc470p74NzHp2stWKphISgoRGFUCgUiK/87K+0u5uffenzjiwgEXgUvHVm6+zmWcFlabK4 - 15ZB88W40TxzVijlPThprQUEbfMcRkl/fGVv72Rj/bQtU+cKKbERs0UQUj+5vtLYWSSLsr/NF8+s - XfnDPyytA1uuf/Ypuj36wbVvNetin41s1KMovFjUT25cRcEM7MF7IEbwgXYXG6tQS2+e3IAftC+s - 5LJr1oZX3ni3tk1WzF4WL3e21jYap4Z444dH34YogxBAAnryIAgEC/beMzDzI7pL4cEEeOCvKIpi - PB53Op3KCNzt/XhsBO4gz7I40DadrZ/euH5wVIZCKSlQKBVoXXz5mVO2fzMlCCOVl7kUwdnT6+1n - zr5x+8blJzZfvfrDXryyON7/yleelxqbFGsha1o3PbXiZj24fels9+q1w9muePGFXzbeXX3tj/TZ - pZoKS7MA6xSVQunTEL5980a91z3ZObqw9RnhceISR7LT6fKJt4kKErpw6fxKq6uldt4pFWaLbHN5 - vV8uvvHGn37mMxePJ7vXvnfT7F4///SvvHVTf6HdPRgcdFvxeJH86pdf/NKTz/2Pv/cvXpnfDjoc - IRTassSZLYgUk0AEIdD6ypd+5ETifhNh1Sq4+4fCAOCcm06n8/m8MgLVg4+l/x6ctUVSgrLXbhdR - s12raQDUWutAjw53X/1P/4EIHKPSApCdC848+dl/8PX/UtQVSP+PfvOffusPvvGd7//7J3/5a9aW - Z1rhalR//ehkr/SQJD976up4sKi13FOf/6x1ebsWfOlzX3pVZEtCl9gq8lRIX9OwN52cWl++Peqb - AD06Z+na7auRtW3ZW2urp84+kQxg9513F3YsoCZFYCGPo+DUSqPIZjJqoAChdKhcXhZPrK9vD6fC - D+odpVCIRft//Rf/i2jXgzyuxyE7mLiT4Dw7jMZJ4n0pZAQgmFkp+dOUBapQ+fQPrAoDQJ7n1dS8 - Uqr6Ox9bgHvwhM3Oaq0lbm3fGswWncvnpBBCCCVF6vD23lxr7RgkoGBoL4Ve4bkntkbZ0I7Gn7tw - 5mXpvCnL+UxMktryQjo0O6Na90wzqm/vP3P19msn2ytLz3WlU8ig41C6dGc6bjU7oZYsEUiAVsMi - O3X2YqREr96xRbn1/BdOBoc7tBjlxcvX3/5a78lSyDKjOELvF9pBXUY//O73Ou2ucBlLkNrXNOx5 - 99o7f8KL1MZ1RC8EBFH71ptvXb6w8pWz6716yyn8f6/lTRYJ4bww1gEDMt2phTHzT0ch7B4qL0gI - cf+CANyNBJIkieNYSkl3xqIf2wEAAB3qzppsRo1hv5XPxoRQhUne+U63+5v/xa+2W01DXqFA5pKy - W6kvyswR7Z/sPL/SqeVH/9PXf6W9mB8M9q+Y02ubq0d+3GqrMeMvr/76W6+M3r1285deUguatltr - h+VUCN9PcnB+K6gT68InsnTH07GQTz23sij3Dq/dulHE6pqZuHY9jiM2pfF5ms1cYQubEKZAQc7g - CjMTs+XaamGBgcgK5PrVk1xRcrmzTmIowM/Nolitc7MTQxgbax0qQd32MlFZQOpBELPAqlcSEPCn - zAUCgGoJygO9oCodVK0PqozAIyX6/P59BJ+qdfLO7+31l1uu11l2gqtxKimR2V04c25puRbHIQAI - AYjgKOjMysyVRH5O5jf/m390Ou1vNrqjbX8Ayp5/Mu1nKDujw0OP7t9+67tzcqcuXlppdmdl2V1a - Kft7Skpj3BEVPcAWe1sY2dCtle48xNvTI2UTFcZrG8s3JnmA+OTGei9uDo5O8kh62U7IC4nsda0W - oyyb3e7P/cyv9I/2d8RwXkx1xO3z//D46m+xIAuSnE3KSe3pv/fchdW10BflZJwWcHi8OzhwdWdq - q0QIzCjQeYfwCAo/wAMJUHlBD2wNAgBr7XQ6XVlZqYbF4NGo/FWiX6Ug7tKAq7L1pzbSzETeOOdM - u9FYj9YOwDARsxdC1dudi2dWpBDDImnrSEvlgcf94fEisTNTi+uZ7lwVcDCcXb704ght62TSyYzO - oeCkiODNV1/d/PwLWy++dGV2pFR2/fjGrOAgiBSQZUgxL0f7ZVaU3OCR1PSNon0qmRRRIK33LnMU - qFdv3BDAWLpfvfzSYja13lY1UE/sOq1mfek/fP/3z61ueIXO2Qh0OH7t3OoqWQck08TpVug4f/Pg - +kGbFLiZiSwFgnPBXDhCkFAtVBaSqFoc8cjhoQjwkJKSZdlisagmhj+Os31UVDJPRMz3fFAA4IqY - lcb9FKY0pNS1Wru33N5YXb6ysxOGUSAUMxtLgcgnuQUmD+XUl8BQkppn6SLPiJxHrJ9Z+dqlXzxb - z6mx9gdv7qmoYfKTzFtblpeeeen2G7dffOELO2Y0BfXSc1857B98ofHMD/be7Z4DEjTkue0UzW57 - skKilynWC+ifP3tKHpe1M8u/+tTyDwe3hotxrGqFKa9m1yev74B3CFKIgDyv9jojvXccz2iR0/Qo - mS+41ltu7Gz3j+qN1ni2Z62zMjwjb755+5BRkXCKxUp3KcHYiMSyD2shU6kC4alajgI/ZTFAhaoi - 9jBekDFmPp9Xw2I/8VD4rvQDEXhPzEx0pzlX3N1QI+4MrX6yJxQC6w1dllmaZUmayFpdSgHgszzL - QPSThIgce/DkrStNnrM3xhQmY5Lr62d6EuTJ3s3ruytLTyoV7Bwdzcb5ytbmtJE/+0vPLy83dvon - x9noO/uvDGx+uhhMRELoKfe1ierEl37+c1/49huv5jvluD5NG+Vbh6NfaL9wfH3HueJ0s7WKa08+ - cWkszA/f/AGfWVJM6/HyM5c+9+9e+R17JkSlwS1Gsrj4woWubNz0167hbVznq/nsqz/7pauj4bRz - e1fsqs8E4NWTy0+/O3pnrREEU7Un5gtn5nPT6qAOAJiJWKlH0Qd6MAHu5YIe6AV575MkyfO8CoXv - Pf8nwoG70s/es3Ps/R0KC4FCgJSCGZQCIZD5k97YxMB6MpsdHk1H5Xxj45QQNB1PNJGKaw4lAyCw - 8d4674m8MXmelaYE6778pc9OxkfXZrWzl87nIuSGDtNz0D+OLq4ejkcLn+cnB9LXZ9Nk7MfGu7me - lsoLgTWvPgud1Nur3/9RjxWAEsn8dqx6ZbiSNrPjqYe6Pykl843s9dlq+bnuudJGZl6io713bz3V - eOqQ9k6vbjyxh+GB1mE3Evhs+eQkHo2Xk4QX4cWwt9zc37MCEGjBzj/57C++u/uO0cdPb3xmf7Lr - kdMSnLPOIwLetQCPHAcelgBVbeuBHCiKIsuydrtdlcN+cuq/IgA7x9Z657jabF19AUqhUsCMAEIp - RPxk+5eCULaajYPFtNVqzKeFVJIB6jrUANOijHUARHNjSlM4a603vjBtGfV9QRZMiUov187XYHU5 - Od5P5tNstRH1ntijUmMQNdCIImhEHojANijKoURkSsrGuMXOOy+mswEidDrdaBJsZWKru2HT0jN5 - YEYQQhzdGAwOSoHZxbWnSuWRURK2MNy5nvcHh09E3RIDEMBMWqp4FFI6O39q6+p3b45ngzCuOcEC - 4UzviW9+61uxKUKOzp5uy6GUkYziGMBWWSAiD49kGvSh4tR7TT73BzNba5MkMcb4eyr3J4HK4fGe - nSNr2VoyhoqC8tyVpS9LMoacY6JqD8Anu7NSSmVdKVC3O4HWAUjwgmWzlguOQuZkKOwQlcWQZcwu - m9JsuBaLcnwUKAo6jG0VNlXo81470DWIapGKgpqOv7p16bm1zb6zu9MxEFKpX1q7dGllzVs72Sch - Q9aEKBCUc8RMgZK1enNpZd36QqCazWaj0QgFbnXW1ttnFEbsvBJCSBA6RBWEHE+TwqSlEAIZwBEw - CCHT4WLJB0uLWvdAhYd8Xl+IF51zcGrtKG7cxHS/sORY4tikw3TsWCLC3QTET2EatMI9I/AwBYHq - gr1qj/RPZEig+rjvWQBjKon31laeqAjDqm0bqvD+k27cKAubpllcU/MZ1Wo1JaUUMi3S8e6Jy2b/ - 37/+bZB05sJTT33uq478rbdubL/zdrPTnvQH9YsXdBwLIVQ2+rN39uO6jL6wNRCZD4ld8Z0rrxVs - cIkQEJkMla+fvJ4ba2YukvV6LRZpSuQ6nQ6xY2IhxMHwqB7VNmXE4IMwQGRmYgBXltIDEyHK8aRf - rzXrtToTkPcEPg6Dkmg0Gi0tLUkAgTgwaQ/DTrc98dPQgrJ+Wsx1xEvtYN+777yzpzuysLZE9uSh - 8vHeuzH9UcKHIMBDhsJlWVprnXP3qsKfOqoZPDKG5nMzm5kkcWnqsswxQ72uut2g0wmFQCnxrmv3 - CRK1NIbIbmysWUMmu5NUqEnx1DMXXnnjh1TmeVFmKwshqMwWQsWNuDUYLlajroSQATbXVg5eP4iE - 8tP8+M3jiZLEdF7VytsL9mVtHQ9LlsjrUXNzAoPhqL3e3NHgywIYGC2DBpCADMy1WrPXXffjsZR+ - uVvLS+3ZjaeTMebdRo/YAoed1ioiesKtzc3dILMLW1cojQqjEABYCC0VOFACQKMi4YX33pP3OqwH - mng25pxcMxDoAy09CYeEIFEAPIpLIR56O/RDhsLVdq2yLKvJ+ioM+JSrwlWs4hyMx+WtW4vt7XQ0 - KudzmyQWAHq96Pz5xoULzbW1SCmhNXjPVZj2CR1QSaVksFhk7VYHs7mU0nnP7MKgVpaJkF4pXJiy - sIXU2hpT5MXly5+5+daVJ6NzJ9LvTiYSOEyYbgwAACAASURBVEKXIgio6QjZCs1G1WWQSXYSJQuG - 0MswVEut3oJNngyhU0NiBCByAAgMwvNoMuy3jzdA1uOw24zGaTmeJQJRCmGJpFLooFqR5r3tj49T - bS2HoiEAfLPRRPaBFAoVEUmpvEDJwnvLhkqT13TNpxQJ+o0XL95otr5x/c8AnMSWs6UCAGYQyI9e - DPAhCKCUqgjwwAZpY4y1tto6Cp96MrRyfhYLc/Xq7NvfHrz77ixJrHOc556Zu92w38+NIaUwCIRS - KGVVKfukjAAiShlJqbIsmy8WdbUphZgX9tXxZFF4sh5JaR3WpJstpo6yKNaI0K4F104Oo6Ull5YN - YBBIWorAsxOFR5QUC8xDEQjRkPhEd63FpA5OYk2DclEn9eKlp/pvvimEQNBa67zIhVYi1MblLGuE - 3ghAKfluWRCkrNz0O/dGeyJG67wDb01ZhUmeEYQCD7Y0iIgSFCIIJVkweACQgeYU0OSutAJoNJ/5 - uI3Idwcj+RG0AR+iYvWQvXHe+3te0L3rZD5N9Q8AzvHRUf7KK+NXXhn1+wURVeEXMxtDReGlxGZT - 1etKa6GUkBKFgE8oH6qUtC5bDIo8y20gKqfLsfNQFzKSUjCwEEFUDmK/cz3LisIQ4aJIdG9N1Vhw - oBLJAIpotdnMrB8VC8MUITGTkurS2vort/Yu1PQWs0YSHiya125c2ZTKO7+xvkFMRbmPEpGAUMpA - p+Mst6k1TstQoGQgYramBKW73SUdKAlqZ7wLEoBBSZkbs9JbdqySxVx4Lr3FSA7HmQUXKCWksN6C - x+E4LS3eGsy/cfhuEdAoS5PCtwlQgBCS6ZFT//CQWaAKlRF4oFtfEeBeIuhTzwUhM+S5u3Fj8eab - k8GgqO53rU6BiNbSbGZu305v3kzGY1OW3jnynonoExraEEK0250gCPb398bjMVRXzVqrrXPGEEkk - GbLqqYYcJ9KjlqFSQRzVCXzue0eTxFnLxJ7o6uQ4LWe9WszV0CHq4SI7GpXgZVnmyMgMyEJJBORq - 37fWOopiRCBmJvaAjghA2hKABICoutQQ0TmvtZRShqEOgkig8s4RkURE1FrWG/V6rRZrIQABBTJq - dPzik89IIaxzgQqLgljo7x6MPQbIEIQhonbOw71ruB49F+jDBalVAHd/dX4vEeScq+zpPXy0oz4s - iHg6NTduLI6Pc2vf239y5/4273k0KnZ3034/z3NvbVUluFM9+ATA7XrcrLc21s9EYaOKz1HpP9/e - tTbJcsot1WpB2IjmkQ4bQWGzyXBYOE/WLGpnchdZ8mlRkHNNrJHlC72ukMIDGOuk1NnqZ3XcjoMY - lagufXbWgwyUMwLRuBzAMTsie7cdgcETIjN4BicRybkMPQjfbHU7vSURaCVFiEKw8kKRM0888USj - 0wT0UoQAKs9yCJWxmUAW4GJZKx0qCUJwAOT1MnMPJGXgGQBYV+/5yMk+AHxYC1AZgfs/rdq0fo8A - 9zjw0c75IUDEg0G5vZ2k6fu9tYq5RFCWNBwWw2GZptWqWb53zI/9qM66NE2mk4lSularMxORL0v/ - 7VuD2aycgx+XWYHu7ZNsl1aGaTHJ+q+//t1RNpxltLq4YkY7Qqm94cncl8vNdl2Go/mUmefzWVEU - ysP67Lqd7HgCSzxKLRETgzFOCcFMpiyHoyEDV9d2AaIUQgpZLXXz3gspN7vrT218hon6/f5x/wQR - q435lecuBd66dWWeDMMwDMIQGUGKoN1g9t2l3t7e7qlTazIKdbtWlHmsw5leFdE6Ayd5ykTWuEd9 - PfrD4yG3RRhj3kuAqioMn1Y0XJb+8DA/Ocmd+0ufexUcw51UFU0mpiJAu01BQM6hlFWs8jGf0Dr7 - 6o/eaTYbq6vL/XTufWmcFT57Wu+0n3j2H/zT/64wZagDo1w96ilZDBe19oW1/vHuxa2wXLIr9d6U - uIlbuh5ui5HrYWqLabSgYpLMpnncPlVnvYkzWVz//quaorwVyI3O6aXTOLyNgsaTAcCdqm9dhxfP - nsPjE0SOFBBq45wHNlR4MJKRPJlswbaRsVQsgK3zEZkSvWRribwUTlqfJ9kryev50zipLW648ep6 - dzQevx6AfSYoI31RHl492hPr0SQbY6CEmEtR98SPXPwLAB+WAJUEP7BBukoEvfdi7QqfQlmAmbPM - 7+2l06llxrtuD97NR907Ic/n5vg4XyxsWVIYchAw0Z0i2sfLUhQCBW6sr69v9Io+ePLWmkLAF3/m - y9lk/MZ3XkEBmy88KbXqyKhVa/7cF7/sjV1curQig4yziePFdFF3Kkm9WVMevAqQIKqfOR2dWe4v - 2ThqPrXVfmd+qC+sxga8Diyzg4yYEDCMoqIoEIQUsjDlre1bF6N6EAQMzjNLJhRiMBlFwWBLCmZO - kuT69RvI4Z3vF9E7J0U8Ho/Hs4Sci7rR8rm65suXas9N5mYA3zX6MApbyXzrbPBLi8Qdq++opjWM - KTuSguHuXoVHkgEfggD39Pe9Eu+PeyYR3SPApxwEE8F4XO7tpVn2gJJFUdDRUTYem/V1F8fS+2ru - R3z8+VCmra1TrnSn1uPbE1OAYbDT+QISvdg/GG/3Mzs/GI3PfealRRCK3Ce3bw7HKTXppL5EIkyo - eJrF0YFvQn4w4QSIJTwR6/pYJ/m8W+L27mEc12oqemHphcH+CbXwR9Ph8WJ6DgSyX+quHO7vIwMQ - YqBJk3O2IrkAH2mlpERE770OgrxEkBqYPTsAxQyWPSALDIg8WeO8K6f+K6vPDwfrly6dUrr+R3/8 - 9qoU0Up7vDj7zLnLJdOt4+SN6QHEwnhPAAQ1IQV7InoU44APrZLvtUXc5zlVU9B7s0D3CgJ//ZM+ - HKylw8P84CB7b/j7ge9LxMOhOTzMqjDAOfJVg9jHDsTFYhHX9ZUrt6ezBJk9+aIohFSIqJQIdIAc - rSz3xpDmAUZCdkPlF7kPGmWIUmv23G00ItQR+jgMLq5s6RxrgV6qt8JF4H19YlFRLL2tNyPhSMcR - ABATAhwc7ksphEREKMuSmMMwZGbnmRg8VUGPIJSWHANJcad7TUvFRMxgvaMqYgASQkihgLEos05U - Q++k7CSLxGYZmKRV88LPu/V19BoZrTNZluVl7r1/ZG+I+XAE4LuL3+6fC6rqwVUm9NOUfmbOMre3 - l45GVe3mAUgSu7+fTSbGGKo6hap/H7O1ZhFHzb3Dw+2dE0doi5I8CQZH7JxTwgnmKNDjyUCDXNJK - IRI55xywIcac2HogwR7YERKpo9EhBQJlqTSmlLPwhJ6dJ/KNIHDIUkghhJRKSCEECAkAdMexsx4B - ADA3rj+alNYieVVCJ2zoUBGS9342m6DwWihBDFKiUp7IOZfniScrhD4+PgG0xGmRlUIGSkhwMoiU - 9d4al2dzBI9OOuFqUU2oiB7JK7IrPCwB7ol75R4opR6YDL1XNv7UskBEMJ2a7e1ksbAP84bG0PFx - fnKSZ9mdlmnvCeBjToYGQYCoAx3P52lpjXXeeUKpiNmXRkgFCKUxzhVsDLvM2IyIvXOltRLYlYUn - J4AFM5EPAri4tYnIEr0UXguUEiUIpUAKUOiRsBYFiBKYGMAYc/PmDWInkGOpYwiL0ngg59zB/qE1 - nhg7zbjTa7BAoKqMSMgCBAAxMQeBICJAMKUjzwBsPXl2zgTOlVqFxhjnfBCwZyBQNw+Oat5//dJX - PUoV6HZrDfjOgoVHkAUPS4D3SXC1LeI+z6/i4PfWAT7w93y8cI6Oj/P9/awsHyrvxszjcXl0lE+n - Js9d1SP9numZj+eozjkGF4VRGEZCK0AhUAc6cGVGpQUEgUIoCTK6uqgPkoI8eO8ZgMi0hGkroZER - vARoi2CWZt9895opLXhmR3EUdjWuNjacI5QhIQKhFuAckXcEDAjeO++ts66tTK/XMAIAhdbqwoWL - UgaMuCRkMR17ZoGAiEvdHpBgZPBETJ4sMSkpG42WcyQEv3vjhg6ksYys4yhiJsdpXFOls1qK1caq - NcprNpbn2Ww2m1eW9dGsBXy4OsB7f77/vEvlBb13KqCiwSeaBs1zv7eX9fuF9w/1STNDmrqDg6zf - z5PE3Z0TqCrH8HHVBJi5LMqytJ1OG1EgsvdlP+ffubnwAogEkmDwk5J3jbQ5eZAgpBAYCgF643g2 - A0PEASlY7/XOLq8uBe16HLFA6xQ5not62vycQumtMUSWiAw7CkBGWqhYh+fOnfXAHvFss7WBVtzt - TY50KBgU4Faz0Q6YHCEis/AeGCV4VFp7CtF7b8kY8h6QBQgBIJmAOA+0DILYs2KmMPDeGClcFESl - x2+++UeFLabJoihyAAAG+mmPASrxvdfY88Aw4J4FeG8c/MmBiGczs72dzGbmYQKACmVJ/X5xfFzM - 5zZNbVH4siRryfs7teGPDu9NmiYCgzDUYRhYa62zsU/yo2uFgeFsMivK3Jg1TtZmb5BPPXhrkQiX - hMzUuUZnVTdwMpkZV94YHG73D8fJ2JZZlpmi8MkiFYt5b/IqGs8S54s0diJorGervzDNbeH9PM/H - 80meF87bZn3JlZCluXMeARdFVpAzQF4LQOWtJ3beF3kxty5DsCtnnxFrv6hFIIRhsM7lBE4qufbU - 1xlWjucekZeWmmEU20ID43QuLASFIWcNCS6FmxWplpKJ7pThHj186DrAvZ8fxgIYY94n/Z+cEXCO - BoNydzfNsr9UpLt/xtZ7nkzM/n66sVFNMuOd6wxR3huV/IjnNcb3et0LF0/v7Rx5X7Ij71wo8t/8 - +bOH/ZNte1SW9KWLT9F6cLm32jJ08+q1wWjW2Vw+6vLl2u3t21feZtge7ZIwnVNPxXGgWR37Qu3s - QWFOOipYC9Nwpwz8D27dMoPExvTEpVaZXX37+O0zyxvTfJFlSb1eT8eLEddPnWq9fu3NM/H6Ilkg - Q6fTOSr6ppBhLzq6fXW5cWo8minv6vX6eDpsbTxts/03Dt5udzaz4bweNVDQybhfKy6vr7befnvv - FpilNfH0pWffvPHddiv67p8PgoBzMTy/cn7H3QZkX1oVBCgKRAH4KMYAf839JfeWxt0nzV/Fwe+r - hX1yLlBR0MFBdnycW/u+89x/gAHS1O3vZ73eQuvKsgkhsGK31oKIhfhIpTGtA6VVrY7rG929vbEr - yVszN1AHZaP4a3/n75fWWPJHk0lalIfGhedOi1jbXr3IF/v5TiRb3fpy+sVlqbyTSEQawSFFl88H - IFrSBghMLogivBDEp2wRolUluiv68vreYMYBexkUXNq2bmx2h8ri+d727th7A94fH88wwLWlrXHs - JytyerDjsxIIuZjKEFeiUsAbkzUcnWy7LH9y44Xrt96Fhji/dPz6ySu2mHJRtNurYe/Z1unO7+3/ - u6yY0tyvnGufaV3aLRkRSi7K0lEEIOGn6YKMh8EDu6Orctin0xNKxIuF3dlJJhPzod6Hma2lk5Pi - zTenxhAzaC2EuLM8AhGV+qhGAJGTxeLdd/aKvChcQbGwznEsFpaaUW2Rpl5aESL56Eurp18f3MqU - bbRWE2VajOuN3rhhj9wMEEqyJbNyjABc2lHoiIkU1rG2XO+9newIhRSSA98gonqceAebAaBDVER0 - KVzeM4tisSsjonOBYCUZmXg17u651C8mq8trQ92XQgJoMlaIcDYzp3Bd1U6/VX9LL7VvpMfqzJrX - 2VuHrwZ544n2+Rvm9nQ6+5PJtwCRmGULJMN4NrJFik3vBKmoZry2lrV2QgR//Q/xE8NH2mB1/wsE - KgJYa/9qLexjtwPe82hU7u6maWo/LNO858XCFoXPc4+ItZoKAqGUUAqFQCllFQ3/tc8spY6jzmg4 - yLLENgVZJPInR5N8suiU/sorV2Tg7VonC8NjHiy369HVZDJPoSf2ff6ZpzvyYH5G1EfjkQoQltqF - t3uT4bPLm7Q/z7LMdeXQZ7NO2OEGMXoWHk3AOqIosQ0kx+CrK4qlbtatz+2MvZKgCdk774mWGsvj - zAvSp+pPjKL0wtLl7f7+6dMrgyT9O5dfOKfrs+hcfXdlPji7VNvKeXEy+7dhHXeLk8H0qLZOpsWn - WuvLftXWlXSQmQJjNRoMuTiWhONkHp4KhJTM/Cgty/wLfCQLUHlBPy7ArerB780FEdEntDSuLOno - KD86Kox5/0kehg5EXJb++Dh79125uhp1OkEYimpWRqlqc9Zf/9uLotBTIZWoNxpDPxEenSvJc6Qa - OB1II9A7LuVao+cgiIGsJWVJLsCznozMbGQvNnQ6RuYS2J3fOjNfiBY1U5PJUkobFV4t5m6Na2dX - V6eLWRjGt6fHUUNu6rVFNnOOjLOMxd7JnEDUoA3M5Akdlcm8FQR9ADMXRem+t//2r335yxcb6379 - y+thre8Jp4c2vzXt1TZxQ0L9Qq9WskqvtVsxHMOgI9Rs10Kc/eZv/GwxCP5N/3uzYoIMgYCVzvl+ - cSgJAEkILohjfCSWBf5VfKRjVRy4z6oI51zVE1GhGqv/2Fvi7vrx6XBYPHz+56/CGD46yre3kzNn - ao2GiiIKQ/IelcKP0iHnyQrtfGo31ldH88XAJGgdEp9uLA1GA+9JgI/QQEiJWbQMMHjnnEBc6tRz - wfVGzUOhlLAehkX+1vUr5EUZ1tGTBFgUxShPuFErwDdu5DWhjuEoagdI4SlbHC+EI69czftwbb12 - LR2MPOdlgYESCn2sz61tzUy0sEfNTqPI85dvvN76bPvG9t7zpy69dnM3H9/+hc2WCYaYdshkjZZM - xyIDGzqWi9qFjbXb850iBV9gUUBhsoRn6GQgeBlLAGDA0eTEEWiHRAzwgJUiPxF8JFm8fzWAmb33 - 9wjwyWVCnaPJpNzfz5LEfRQCVJ0Uh4fZaFRmmSsKZy17Dx8xfjHGLrIMUJz0D+aLubOuJDdK5m8c - bmdFzoSeoCQclH5/MU0Ldo5RIHtsRY2Zs6oeAkCErIhms7TMoV5rhFEIQjFKFiBRKVAB6vO9VUry - tVK1wnp/6eezQV8s8u3bt28f39w5uKEWrpm4MssjDLwDGURAuLm2djAdCJSJyeM4fvb85R6ET61f - qkfiF56/oDvtUbw0NzB2A1bKkUQPOgiYCAMpMQzqSmphPDfqMVrpgBlUAWQYkBQyp7Zg9kJFzD9t - d4Q9DB5YDqsIUPVEfHLVAGtpOCwPDrKiePBdHu/D+2IY73k8LofD8swZ32iQtd57QfSRvrdqQujU - 2kYYqRvXB4WxJRcZOKGjrtStTsfS4qiw2TwjESAyomwv9f5/9t7zya7suhdba4eTbr59OwENoBvA - DMIMZ4acGZKShtSzKJmWVE8SVVapyh9U/o/8wWV/0ge9UtlPtuqVnizJpZKeKVEcpsk5IHZGh5vD - CTus5Q930Gw2gB6kGUEq/j6gcM8959zd5+y1V/qttUe2P1ervb2zmmdmOUw0ygJFEsr6wrxljwAg - w1IlSqErhSZyKNByUamUhtlwdz8v4zUrIqkwL8nSTHNGJl7rQMdBmpfjiuEiS9MkKt3Y2005n4uT - kycX39+4/vH1tfJK5Uq321QwZGpPRlGYhIrIE3ABZAkcMEophResWAUYgXrn449//YVvvXD6mR6d - ZIsT6AYUvLhwUZUXBptvhwKVigFygCewMdyjCQB83jZK03d/4AYcloHH5Qczc57T9na6t5ffEQC9 - rxv84oB5PHb7+/l4bOt1be00HYaP4gfXG7VSqbzX2Ttx8iQFMp2MLRbWOemAABeXTq5vfMJMggE8 - ee9nmy3LPOh27WQ435i5Otoi7VEgAzTrFVBKqjglW2rW2AEOugD03NJSlnvpLAqQWixpPR59BApC - jKNKw3rW5Viir+rgVy49f23UUeNcyjidpB9ubaF0YwyFZyDo50WBtjseX7MddHJemK/XoIjKVzve - WlYoEcETE7BUEhUSk1DytU+u9gt/7ty5WTHTnayOxr2dMEXl7bhfs7lz1qQiKYN4Ir3gRzXHj+/4 - cNgPPnCFH282gAhGI7u1lQ4GDxz/uSuKwne7Zjw+oAY9quIaDSe1erlUqkghBlmW+swVtoGyopg9 - Z+OxNwU4ROCalkKC82CLAhk+7nZ2+51qKZQqYImMaD3s7Ldnotg5J8jbYhIqJcF9uLux1e8YIuG9 - c5ZCGGjBjIUzlbjUKlc66QgAvbdXtjd2h/0wjhBRBTKIZADuxaUzW7s7U7Latf0dklaRUcKVkZb9 - 4NIsxFE0ZfML4iisSC1RoAZgFEA+UKUr3f2bq2ujreu1vd0ztvJbK6/M1c/vDW5aJud95qeRKH4C - TaDHIADHW0HOuSPV8fBYKXFTo2VrK5s2fnsIHBm7tdzrFcOhLYpp/9ADH+Ah3x4Rb2ysTSaT7a3t - whgiN0HqmLG3uUSBwN7ZKIwrEaAAYwpAtNZaa3vDtBk0AimVCkyBgHqSZgBowMdBKBGZnDMmVnom - qc+UKoqFQFQybo8nZK23HgWy8+fPnImTBAA0ipMzs+lwkheGiTxNC1bCQuDeZOC8A4CN/d265EpU - tSZ7/uLzevZiOopNXpDnychHIcZxWSrFREhcFJRnUEqiU8/+T3E0t1IJTi7UOxOTGat0IAAydo2T - 83G5CaSfvMkP8OgCAMdyIqaEiGkq4HBKGB6fDBSF39vLb926XwbonTgyeGYeDm2vZ4rCW/sZNw4e - YcBC4tzsqcFonBobxXpk0tyaWnVeizLZUW/YZSnIDRULV/8mUeDSMVtCCd7wOGiN5TnyzjJ49rHE - QsHm/i2XGWcLIh/pqFWrnCw350qhYJhY44lmGnOggsIVKMlLLKwNlHbMJEXAQWumFUivQqrVK1II - cvDmJx8L8IIckpPkdjvtfDIOWKzudz/I5L6cy00qA/nGB+tvvrN28uR8rZIgwVAWxWiUZamzqZn4 - Ubrrq0m13oAE97u3XH8gOCTmnf098t6A5yeSDfqoPsCBBrhrRuxwIOhIPvixWEHMkGV+ezttt4sp - lf/B8VnbxkNsJUhT3+uZPKepD3CbGv2QA46jyFlnjVg51/pobc16R8Tv5KX01q3fkmI8HIOCRpI0 - ZOiyts5ThmySjZN6MteIIsndzJOiwhSIFIdxKdTnTi7GOY26u4PhIKzFpQDy2ovQeUMKMRq2ZUns - 7O7KhYaQQjKcmztxdXPt0uyybbeFlA7YmOKsCMi62sl5MRulxrn1TdD+muhZZ4m8VkFhJlrx1e0P - VjufahWeaC3f2vmnvbUtBXHbLv7K+VOBKL3aeV+cyIHF7Im4on78QX714/cZtPEsT/VSYWcZwFor - ELMsDeMnb+4DwKMLAHxeNmCqBA5bQfD48sHe83Bot7bS4fC+KmDuOjxEPOIKG+O73WI8trc1AD9K - yyBEdL5w3taTyiAdZ+AZ4Czs7cHe0MNmup7UKpHT8zXR7H+UhUWvvTsejyphjUNV8jvzcuyT0gDa - zZlWj/L9fv/7442n6rM4ahejkZLVldPnV4v9ze1rT585Mw4Grcp8NQYy1nuyKljfbkM9utHfP8Wc - BPjhrZtBrE8mjWyQv/fJDZqpjNrjr5XCVrlUCqs3t3YWAPq3cmMRQDCLfjwoLS9enFvJPQ3Enodi - e3L9r69fF0DSEcUKGHaHvf7ACCw8kLAy8NR2A6W8lGRQbW1unFk4xeAAw4d8gl8kHpsA3IsTQUQH - lLjHHgmdcjm3t7OieKQky5HBO8f9vhkO7bQ8wPtH2t9tOBq2291aNdza3M0LY7xjDxe1C8+Vr+zv - l79+djaubKX919L1UKHHfHwS3EJlqJQOoA191wre4oG+3MoRBKoFqAkRT7gwZ4MltVJO6jez4eXZ - DixXPw6y4KWVCSED/c7Zr737gx8XRhjvcOLOzp6Our1QSOf9ZIIUSke+Uqvu2kzFjiGqJPN//8a/ - zGW6efZUO9uQwABATHEv2hrc+n771Zny3AU645v+itlgAZ65Wk/Oz54ej0ebnY1XLn/7tSuvjdM+ - kCRkImGKAkrAwMReawkMzE9id6DHk6A+xg2YCsAXlAooCr+/n+/vF8490j2PjJ2ZRyPb75s899Z+ - 1jiRCKV8GJUVhuHCwlIp1HEk+leKCTtJuOZGgnQ5qEhHBmTaTb85t8JarNFwPmoykZDCAXtR9s4J - TlCjJQ/E0jPI0CF4RSmwn+QVGa23e0nQkIyKJZIPnShyVlFMxi0aZJRip4sBe9IyiKVARkAp9/Zu - uXKgU/IJB4LLSdP6MWrJHlHIz7ZTE2BCuzPoP7OwkK7jcDcvkexJy4jP1E74T73r9BulOCIxm8zO - mupwtTMyQxNrVypkFYndyIy8dw6OI6X/K+LxaIAD3PWPPKBCwO26sEf3BKa7bk4mbmcn6/WKB3q2 - d4zzsy1TD44xQ5q6TqdIU2/MZ54AwEN2z7XGz7VqJvOFT1kQGwKUG3bsCtbG6v5YkWrN1kwTpZSl - nvQ7+zsBk9bV/TFWkurpk6GUAkT/6mruzfLK2Y7NOtmorLREkXkrk0gJgSCls0oqKqTtjrd2+us7 - t+bCxl4+EkLWq83huLNQiZUAthaApZQzXha9IvQoQy8EuqIQ7rN2TzpKiiIFYAQCQpTKeoriiFl0 - shFLoyFgQqU0MWUj89pHb/f72fLszEKlyV3XQ8FKEWeSBSMag2Egn8DW0PAYNcC93ICpH3xEAzDz - Q+8g5j0Xhc8yPy1p39iYjMcPmgH4hZOnPJ8jQlEU1O2a0cgURXxbCTxkBgOBB51RGAYpGkOFACSm - SU6505Th6RFciOv/8ua1/tJQBLra5185ubB79dMB4tdnTlxZ3/1k+3pSqQRUvKAre5Z+9ONPRSU+ - Uy2V++OsNyzNVLrKylI5FO40+Vsb66wVzc/ujIYhCiWhvjjrYlWdP7F/cxgHKhDixExTIErG7qDb - XJidjIpxkQWBfObpC+sffzx9NY25xe7eTpENFYIg4RwQCC2xUpnh0RagP7d8jlHFYVKulEYju9Vu - cxA1G/WsP5JIUkggvtR6aqPXGZOrZ22cwQAAIABJREFU1OuQFU9iDOixhEGnOCYjNs0HH3YDHvpX - iHg8thsb6TvvdF97rf3uu721tckDBUDvOsw7Z7b31O0W/b7Nc+cc33YDHkZildbGphvbW6PBELVy - 5C0Z8FKr4Lm5OR0Gxhen5k8hlwqj8oyUUEpIBEFIhonc5H98/msXzqw4hRKQtYQ4ckoyMCjpjf32 - iy8l1aRUqxgiRw6Na0XlKIpACiVVa2amMdtaH3eVkAJR67Aw3qOQCFGjPr9ySs8vgI6IqdvZS+IY - wDMDsJqbX4mTOhJMW6oY4xAkIgsppNSf3rwy9ikGUoipYYggcVzkURjEUSyQif3XL3611VoWgRIA - Qmnkf3dcoAN81vTiHiCiAz4cHAqoP8SCagzt7uavv95+661ur1cIgVtb6X2WwN8GHzGCphGeIwOZ - Jph7PZNlfpoQeOhgqClMYSbd/fZvfe1b0fbPzMQJIKvAMBUA3hMG4ubmZjFT03HIAHmREiITOmsC - qVLgf7j6UeSwVVhGEEoHSiP7EnIQqJ73b1//pFWfQUJyphGLSc4hSgZhrfWhd957i3OVGg2GtnDO - kU4iB4jOlJKyVso6BygLa4EsEAEyotA6YhIotMDPNjglYu9hMOqRJ0JmweN0RLolUGipFGrneZxm - ZUx0oLWzyOo///RvCsmvLH4zCsNBao30T6AOeJwCcK/agAMBONIh4kF50cxcFH5jY/Lmm5033uhM - Ji6OlbX+gRigtzXAL1g8zHBnHCvLfLudH3AipgmBhyiPDAIdRdXlU9HJVsvbgoCQ2QOkgl6f7F8k - RFTLtQUto8IY640KlWKB6FCoigpW8rDYGmgVlmerRV4gs3cOtEQEIq/DIIhiEjAqsopQaGNE0xkN - SrOzOg4VikSHQajDIMAoBg2hEiOTeYWlUOTdQkoRJJqGVgiwQJkxbIEEe5uFcV0K6ZmQWVhXkJlL - 6kWeIjGDBKkAUEqYTDJjHVt36cxKNkp1oCWgFFKzISsBbbvbGQ6GyIGQ2QM9ty8Hj61M4RgTiG+3 - SOFDgIfRAJjntLOTb26mk4mzlpyzDzXUu6d1j0iAMVM3wE41gPfELB5CAxBRe79rrfjxe5/27OSz - 9jjTCikUgEIi7u1vqrhiwMmMBM/GUVyWJIQk4/I0DerlEkpkJ4Wcr1ZkHFUC72HMiAyshGbHURhJ - RV7k2olKucosnHVKYxQLG4pIkpdCKVESSpbjwKHweRTHUkrrrQ60UgqVRCWlUCCQifI8c9YjYmR5 - 5dlfd5M954ed7o5SEhwjsDEmrAV5Xgjip5/+6oVatB+keqK9dQ798lMvb7l1IzYnznjycRADpA/x - sr5ofBk+ABxqFHdYCcAD8guY2RiaRuido2m784ctADhKf7hzIETc6xX9vs2yKSeCH65blvdOsNPS - 7g22PTOwd2gBp/IPwjnril4A+uRMeGouLSnnSEhRDkPnSARaLiRytjZ7+YxXIpSaqUAgj+wRQApm - RoYkCJtJOVQBoXDICKaUBEFYzsmVQJaV0hhYg9P+j1Iwy5C8CFQUiPJifcZaKxyZLDPOEnlGmJh+ - uaLDSDB6EtBTjYk6YREM5yhorlw+vfIty3ICKZUEN8Obad4xS5dXThXA/WEH7GRrEDIvSSUKJCml - 0Nr+O84DwLHpsGkg6DAb9OHCoMzgHE3jP483pnyb7fwLB6cOd7dbZJmftjh6OAc+CIKvPPvsYDS5 - 2V2z1jLCdPYzwvSZCSGDMDrZWuiK3HQnSiollZZSkAjCYLFZr9bnZBKIztgrFQC1Gq0i77KTo1ER - taqlRAWarHMCgzQFllyulONyWSmlUAVBMHGOHHhirXQYcTlKsO9QoC3M2zevvHTh2dW9fWCOwyon - HWLQgMO9/azXd9Yhs2bZpE+v9D8eR4k7KQpTlBMRnn55d+fjN/feo6oRAi61uNT/8Adpe31rHRPj - yvJscGu72HExeIHIYK0Ngi9kC7ZHxOOsTjxGCRxukfLQ92cG59gYekCv935vDncIZJb5TqeYTNx0 - G6Wpu/ygfwITIyII9EQeESQws0Mi8sSGhUShWOLmaNAedREAUQJLQGSgQnC5VA21Hg4Gjq1A6RyM - 4gs7WdOZbJKOJYgS6HpQnS/VYhGOJmPjLDoSXjCDAPDWJxwoIofT7RI4DEMVaqWQpWvFqjsYeSAA - mI/KhaqhUkoBojcmJXbAIQm/Nrh64eLpsIlFNOB6EVSxmf8sq+2OakVaNcOKeS97d3Qatnjgz6C/ - GC09s1AuSVTIwEU+ct5ZT8VDsnW/WDx+DXBXJXA4EHTEE7h/JcDMzpG1x+3N8bmD/NwfOfzBOe52 - i+Hw53vpMfODrhpaq+FoLIScWmvEJBCF5waJ+aCkKUWBzCwBtQ4KNzTWMBB7UFJ77zf2OtX6ZGn2 - hBtkBF4pDrNPYr1bvbRCS81Ks5FpmpgBEjdPVs7Hz5SjstfKwNAHDjNc/2StYCsQQyF8qR4HIaII - gtCOXEVF5xfP7I2nJRuuCCqw8Gu29/8JFETsvZcCPLGaC8bl0fXuepRHlGtmtTMadPr/fC4pL4be - ZMW1AUyseWv/3Vpzvt2fCFbD3eextccBICAB1JuNosjZyn+3UaApjncD7soIenATiKe2OMBRBtt9 - 3gNg2uKBD6d+4VDjx8PSRcSDge33bVH42z7AA/vuhbHrW7eSqFytNqQQjIIdN+LSsij92pnz7/Qn - LJmAT9fnt32XZE+FWiAFIgiFVkpZtpV4tttuV1EIjehEo5I2Zuc0RaeWmrFQpITUKgKpCGrzM4iI - gFbCU8VKmMFsotqdfqVW99ZtdHaCWn0kTMQCECuZGt7sCe/nVEUANGvBx+tv/nj3A5E67wqcbhdQ - jqBcVhTla6OvX3p2tT202WRl/uSN9q2vNhdfbnmVq/9ybfLh9vV6GL906hurYm88GZhRfHr+9E57 - HZUcgw1KsVRhMZg8gbmwL0kADtyAR0kFELG1/naZ4iMVv99NTeGd5g0zjMd2yok45Ac/mCWbFwUR - GZNjKZAKwSIKmKP4j174Vqkkvt/7x5lGHRjyImPvJAoEEiACAZ48IgZKlcqVnCBBzkyqhOwX9qQr - KwKD3sdSowByTnsiRKV4GhxAasZzWHZRLazNtYynzNJSM3HMIkTXQFHnuNbv5+NAB+WwflPZjhy0 - Lg3HZ084st468kZYsobOzpxaCk5XVqqO5MysJGF/9MF7E5/1xuN+BRJdjYJgZWllsNYet/vLcXmE - 7bj18ZUNBQheQ2ry3mAgnQRvnkA2xGMWgHv5wXeaQAdf3acMTO1va9nah3ckDv3QXTx1uFsj0aI4 - cAM+o4VOh3//chsGQRxHwOwJEQkRgalSbv7Vmz956eyKBcEsIqU/bK9zqJogyJBkIcIqpGMhRKTk - cDgKAJE8eJ9gFEnfrJSlF+1CAESWfBgLlYQMHpEEIpK0I1cKSnlauE7mGSfeeuusc4RQtCe/+dxL - bsauR+tPn1n5u3fefn/9kzwfF0ayAOMtFDl5x8zgfDOp/Mazv/l//+Bv6+VEMCK7//6b3/nHMXHg - 60ltpqQkx3E5K0apVeb7P/vnIJTfefnCuYWlW9112B2oBQ3gonLJtDME/+9cA8AhYhzcEeK8Mxf2 - QHeeOgC3t3J5PEM9MobPMmS/aBpZy91uMRiYopjWBhCzfKBsABENBsNAK50oFsDMQtBNmv16uXWu - 3vhRqKRUhOAEKkeeKQiSEU1GdvGE+BSFQMYARZpnMwZKSt+QrcCVdKjHY8cyO3NybmfSFYFaf/uj - 7ZvbxnpEZGLrixML80+98JWJcejBE4H3QRS2+72ZcrXXH1caM7u5vVhuZJZElIAEFaYOQZOiRKMX - AiV5MbTF//HOX10+e+IPv/Kb/+f/9ZfnLl360//6F2MFgv1uNvq0jfV6FQNa392ZP9kiN0wV//jm - re1e9Ok7nwoc2rO1vBzmhT2zvHJrrfPErf9fkADAHWbGdNU/0ih3+u395IOn5xJBUfgHTf0eHtvt - Ud3zV+5c15m53zfdrslz7z1P+X4PZLk55xqNxmxr5urupvUeAAj8OdvenAxMeEIgChanVQUoAWAg - Tz6HqNy3VetNSZUCljMQqiJjJodUq9RHwu+O973W9Xrd50ElrHa63clgCKOcsxwQiRC8deVJs9Xk - Xreqks5knAkZh6GqsgqCm2l/3N0LovAvXv2H6+ktDkimdqGyuLq3Y50D79k74twzBVJcOvlsd2v3 - H978Adblla2PXnz67L9cv+at+emV935sXal01ZEzbG9u7YmAOZBxa7FcSqorzX0kLAWEYuXceZwg - cvQEhoEevw9wex3FI18d2TQJYLoWivs3gaYawJiHNoHu66ojmmFKjd7by9LUTRlBRPBAhQFRGDx9 - dnm3NylsCowsPPpouTx8S27+7x//5cXlejSpzjtOCxOrwCZe1xvLcXqp3q0Nlzu9iSPe2dmqJqVS - s6or4aka76aDoFoKXRCZyjBPczth0Gg9ofBSM3hAdAAFGZMWc6369mavWpoJbBoqXQyLMdvVzl6k - AmK70dkhkzovOA99qRSXdf1klOiwU4zmKs1ONqw5P3d6fr336UYcjOY6NTnzzIXn3w33U7FHKMFH - FkAwa9SMTnn94uJXkqB1ojwjzpY6Gz8AICS6fv165BIC+eTN/y9YAxwcn076O/3g+15KkYic4zx/ - pCzYkSHdecJdx1MUtL9fDIf29sYZ/EBuQJzEBrAgSEoRolBSIMnrZpQTcUrXYHBV9eSMIAEhmjCI - B9CLYzWm3riiVK2khaxRWaGceLbSodmrRrEyYTHOU+e91vVS4lyOAAJFpVQZjXsAAMQBCp44WW3M - nOL+YODZ5shFzQjyi1HJWTsmWqjVMqt9kQspDHYruvxrc5e2ur1b5Y8/TtovnX+GlPzLa9+freVf - bb2yPSha5aXXNz9cgHA0aG3c2n3u6fkb+Xk7auPuuxfPnVgO9eKt7s7I/f3+R2eXzlZW45e/cuqt - 1f6N1U9euvCrozT4d64B4JASOOLpwm0/+Agf7j5vy8wA7P1nGuBRfIDDU/yIuX9wyhEl4D11OkWn - Y/LcT3UY0QMw+YjReCrHsj0Cy4zWasBV1Y2FqOowI+NiCFGeiqoG0DiLAspREKC0ApeCZl1H61m/ - FMXknfO2oWpF36bWOo0YcKIQFWkiRASJQVSpKtnr7yEAMpATu6u3SnMh2YlSQSsqnympIIjeWt8Q - IloszVztb46NKMn4dLA0Gg930r1mPU4tc2HiUtQUjY21G+EwH3cn//DDv3bSOL124fTpmmjNJLWd - LFu7UmTdj5RwT198brC/ZepJNfBRtfKzfloSy1Ds81wlHoioWp+dm4+kFEI+/Jv7YvBFaYA7Xcyp - BphO3oewYaZJgKJ4VB7EwcCms//Qx2lyYCobR0QXhkO7t5dlmZ/WBmj9AL+YZune7k6aTkr18q9F - K6dqF//zWz87vbj4rblzP1pb+61TZ1+7+u4zKxc3x6MFF7dm4nTQHXzSkUqpsh5XiwEWScFb61el - hGi+MYlYhFAKIsEAwIgmQi1IAIP3HgTW6/NRGG1v3vTk33j9DcGePSnEZLYazNeXqgX5QPdwY5Jl - Mp+pzw7HXEHb21x/7Z13olawF19e3dgbV42eE2meJWHovS9P4A+/873U+o9u7b698d7X6jjDKizr - xRKP2vvpJL/y0d4zT5+vRTqZn6TdzFOeRdfmTgdv7b87tIH1L0gp8jx/pKXri8Hjb1p9r2QwMx/e - MObg4IFv+rk39p7z3BfFw2eCD0Z4+z+HKUA/H9KdWbY0dbu72Whkp22CHigdhohZlp1eXMwiJhnP - NJsxl0MOO2nxs+7+cwtnKZAuK1b7XVGaWYwqokej/jBL82BOnZlpSiny9lan05be1hJRapSkkix8 - 7KVAgQ5Ozs5S4voLC6PdURCFnphJAQALJORSABMrIyVdYUUQZoCqVCp6nSCUOVN7NLbOqChhzEI0 - jvD9jQ9LuiECrwJfjhQPA/Ail/AX3/9bT+BKEYfhzEzl4oml99NOLdEoh8R57qlw1EndcN+oQEtU - n974KKzX/uD53/n7N69udnaHvZ00nTyBzUG/wDDoEUyjQHdS4u5zJhFxnvtphcpDC8Chxf7nH+88 - 4c7j1tLeXt7pFLe3kRT3nw4jT4UpZCCGWfpRunXz1uq4pluCvScNIk8zhaqUlJKxXKyXsPASBLMX - wninEVgr6aUQiAxAREoqRIg8Fjt9Y0xhimdWzkMQTOXYW59UKkzTYAMIieS8DmJb5GE5mgThByll - 3X57qzNiZ5MwKlfOnj4z3L0VKxnFwmogZjKec+uszrwduFxboNQFKM/OLYwGg9VQXE/HvrvXd3aB - tQ6CIAgAPTFWqkkzd3m+LWyhwbUC+7PtT4YuM87ut/eECBCfOBNI3JmZekQcT4m7Mxd2PIHiAETc - 7Rbb2+lk8tCkqp/P+3v/yXcPkhJxr2f29/M899NA0AOx4qSUo4xA4QDHG37fRSKXLncuQgbgsYPB - IFWes3GaG+eFCjQIcKHEailOlBQ6JOMsealVhLKZVAMVtXf2Pr12td3e3U0HbZ9N0twJFoiFM5kZ - MbP3TohpZ34EKU1eMPprfiYzk9H6TnFjy3b3++PhZDyItGYpwjAMCZ5qnQDUSMqxlFJcbJ7AQR6l - WI1r3/z6b/yH53+FsnyQZ/v9vXzURuGFEFJKpYQXRoI2ibaVltC4VNdnoviD/atZsZVUSjOtU62Z - Wf1AtuOXArW9vT3duCWKojiOgyB4xA0sPlcDHDGB7lMDZJnf3EzX19M8f8gWQHwH4fnwqA/Ouce1 - MBrZW7ey8dgdKgy4rwfFzHGQ5OPxvu8jCCmUBYgxVMBfnZuvAioliNz5+mIFMctTJO/IAwjyXC1X - wzhMO/2iyGUgBIpGXEZECwRgBBVQ+LhwgQgTqVirPJ3UkqjZaO5urDrnQgApZWGyKAgssGe5F56L - O1dRCE9eEGfOdMajxTAGBkRBANv9dhC1ylE4JhhTngYkl6sF9Uervb/9x7+WNZlgWAvLrUr19Ln/ - LtvetqITRRFQ2u6lt3Bz6Zw0NrfW1sLT0B3ySUSEJIwbtdr+zs6T6AP82Z/9mdY6SZLZ2dmlpaUz - Z860Wq0wDB+I73UYhwNBR746MIHgkDVyPz7AlJp/8+a403mkPWDuNeT7Wcun5ci9XrG0lHhPzPfp - ukCg9bOXL2aT9rUrmw6lEJIkMksBar4yz55ireJAoYwjtkFVc1qAEAAiicvN2kzhcmMKISWRB+Dc - GucpDAUiBkKLMIplQIBhoEMhOnubo0FfKS2E8J6UkjafBHFJSjV2mS5wJXurWYq6gFNGqlTCeO5N - xi2BAqRE4SGba5SXR2fjtui8f+X61qbWulU626zKQTGsN2unZmrOm/Mr59/vbiNNpJBEHCXh2Axn - TzXzRGzvfCDD6i4vCq4Erk+J2+rsb67dzPLJw7av/AKhXn31VSmlUipJkvn5+cuXL7/44ovnz5+v - VCoPJwOfS4k7Egm9n2nEDL2emVZCPsSQDt/njp+634ZNztG0CVdReCLNfL8bhyEKa4vmTBgmUQE2 - ASVBSQFE5HKGUJvMhI04dTapVlPIjLOAgCJk74b9buFsqBWDV0JFQWClTwuTF8RAwD41+cgzMGbW - aiIU7NzQWcEMyGzJayGNtUKFRDygLEAXxYmUFMXKS0x00Cg3E+9sp+s8OCF2e27X39oa7Sx06pOM - PSQy46BSv3SKt3fksKtr4eDU+dZHV9c2929VIasqmaYTq6RS8srq1nY4LFeW2oO8a9dKagbJs+bN - YbugnMEwPHkCMLXLjTFZlvX7/c3NzdXV1VdeeeWFF15otVpKqYcQg7sqgQMT6OAj3LcH7BwPBrbd - zh+xA9ydI71/O54I+n2ztZWORrZeD+6/SUSe5+kky0bZaJIiEnoMPJYJdSDfWttYXHkqDpNSUmkP - 90ejyeyJ+sZgSE5P402pzwMZsPdKKoE+z1LrTExkSSJbgcQe1va2C4F7w4FHYBbM4D0DMDlfGFNC - dN5LFNO7kWbnSQsG6wjMcm3p7U5ngbPZ0I/GI9byW09deqe9hwo7475lwkg77zhWzYiWWjUZ17K8 - PxwWC5BwA3Z6xBTZAsnKlPMba9xK6vVmLWCfFlykO5oEeDSSKkkytOahzYovDj+PAk3DlIPB4O23 - 315bW/vwww9feeWVixcvVqvVB/IKjg8EHUkGT4Xkc+/vPff7xWj0WLbAeLhCAgCANPXXr49u3pyU - yzoIPttH9XPfqRC4uraWmaxWi/SuZGVaInl57sxqe6+T96xBl+U2HfWKrKy0M9Y5S8AewZOJZPJp - O5vXcRiUvc+ZGArbasy/uiHFBIgFkTAmF/UEwHiicZYGgWZkCa5abVAQcM7ojYeCfCaJU5cVEHoE - UMKw7Rsuz3y9Zl7z/aFjEqg+WL0JtYonD4JiEikKRJAq7uW9oSvdutUG6rzwwgXa8bND8aPdzY1R - KmPpdFirJl+ZX7kwG7Si1n/doE+2dyEyDCUUfmQyY4wMoi/AfH1U3CUM6pzb29v7p3/6p5s3b/7O - 7/zON77xjUajIeUDBLDuNSmOhEGnB+/HCrKWpsXpjz7/j3WFPwfW+ps3Rz/84a73/PzzjVOnEik/ - P4SFQkgpo7isKxF5Aqaxt11pK3OVpOdrFR30wbMfjDsnaoG1MQIQeU/ELAYQvTUO/mNyqwBCEEEQ - LC0sg5eToJIIMRyZuFpSjKNJpqScmZkJS5VqtRbFYWd3dWX5xKe7I2uLyWScx1IAREEyHDuWQIzj - iRdRqRrS+9d/4PTu5aBJxApEYe3p+mypJC80Fs+0Fv76g58mWuVGXB0TWTbOCBF8ZJ2v5xfPXa7O - dyz1tBcgIGX6Cd14y+vFEG40O0tL5XPVix/0V0fjtnVukk5kED3kc/8icc88gLX2+vXrf/M3fyOE - +NrXvtZsNu8/hnWfGgBu8+HgWFtoum/X7m720PGfe+FB9QkRdLv2jTc647EzxkfR/IkTsRCfIwCV - ctKcSQqrP2rvKkAkWSKgbqpAvNI8X0qwyLJxkX/jzGUYFexwttG4TgWT9+xVNnwuyVjH1g6SuKyl - 3tvdC1X4q+W9/OLiuyatzjSMwFBoLpXm6g01nKTpGBHrsyeajcWaZx/o5gTL9bpwpqC8HEeB5hGm - AzWJotDLQaPSVUnp6rAtn64YIdKws7/zUwaIA7g23lrtfQIoPNvvXHjpk/Ub+3YLFV2evdCb4A/3 - r2QwEVZoqRVnhWGwoiC/OryiEUNfXWw+dTWbtMdDKsulM8tAEeJj3iH30XFcIoyI1tfXv//974dh - +Pzzzzcajfu0hY73g+/sjHL8Iuoc7+9nGxsPvwfMHWN4+PYE3tNgYD74oCcltlpRraZrteD4u43H - aWd/kiRxsxows/e2Fw3+m89acbUY9rfbk06V15JhMBlVymWA0WK1/vIffitgjGNVrdRnOAZo/O65 - 73nvC5ImdwU6JB/Pnnj+zLxHkMBS4pkTT0cgZ6RicIhAXhjyJ5ZO5+n4tNApuFgwgcgL1+PJye88 - NUt+BG4L2smKzGxqG5CcWiBih2g5J7brePX52tn9UqlfjD3ym+69yXyBLSIvXt9+fXbvTKJ0/aa+ - 9PTFM8UwztKfrE6ujvrS2pcvvrS3O5ba7qTrbggOE5oJZhbmI6w89i2iHx2fkwl2zl27du3DDz88 - depUpVIJguA+73vXSCj/YkkA3N8anOd+fT1dX59Y+0TEEJhhMnFXrgzef793/nylXNZKHScBQgil - QsPUmWSOHYhU1rIByJEYqDnZEUWpFnQxqygl1LikS7m2oU5kEAVJOfXsUJF3VIqMK4wpmK1lR94P - vPMBOSZJHKCSJB2iZgEoBaNE8oKBpEwquXMTR84ZR9YSGcNG6Imn3LIj9p6sBeeAPDvwBRskpsJd - OvlsMlk468PX999hl48ofWr+xGhcudXdGZi9b588OyAfLlz+9K0bOyp/5txT49715dnZhfrJ4c5g - 48aVF5973u/frCQxCJ1lVnga58PHmG99XFB3DdgfRlEUnU7HGHP/o8d7twqdbpQ0/f9hYsIxGiNN - 3draY88APLwrDADMMBy6a9dG29vpiROxUsetI1EUNhoRqKBz5XrmAkojBQiWFCMDEfOY/YlKaU4n - OtBKaa0AlFE6SlhhCG/duJE7ImOsI0dsyU9s7skb9t45NU3ISakZJUrBQmlUKMC56ZslFNba1JvC - MAnybI3xxrmCvSVfWMNA1gnn0DOTd0DCOncmnt+7VozGn/osP1NeWt+4Qo5ma5cc3OJsp1GtnC77 - wSgsCXzt1jaGUfTMaTDXqznP1Zt2bK21WTp6bnlYa1Q+2YWJ9//y2o9qQfnSpUsP/cy/IKhyuZym - 6TEde5h5PB5bax8iFnTXu93pBMNxAgBp6m/deswOwG276+FlwDna2ck2N9PLl2txLI+x4py3ubNB - EIx9tlcoorIi4owCwyUi7UgV7ju/+VJCwvG0BTsrpXe29k6o+VgEkVHW2OWZJcqNZzJprkoq88bl - hQyCt9O2mRRShgEICVJLoVgKYC3ZCZhyD1EGAIFSbCWjcxB4KZ3wTgApNIYdCUvKM2vwBI4A7Uxj - oZnhtY+uNKrhwuLlsy+eGq1eaa+tRjPR3H6zc2W/0/BxXL9588blFy6XB6uw/TeR8tfb9MH/+1eX - Ll7SSrHAwQ6TIPCKncq8bengSQyDjsfjw57onQ1uvfcbGxvXrl07f/78ffrB9+MDHMbxzyXLXL9v - 3CNlwO4+kke5nIgHAzPdnqzRCI8JknnmXpEmSvTTCYkAQHrgemxnQ6wqFLmri+gnN96rhXXvlVIQ - SY1IgVTvrl1TWoIz1QA/2d0UBSiQeWGslrl1hTcIsGZHiU4kgUEOkTWRQkBEgSA8E5EXROAteCPB - gzQgLDIJZZgLz14qC84BehTb2oSdAAAgAElEQVTsPAtwkh3ibjpI+3mt0dRMt9qdwaTzx7/6G2++ - +zpZuTMa+u7gtU+3o6ofBiGZ0dKcn61i1NHaQL10WkKoSDLiO7081/sQa8e+3mysnDz3JArAdB4c - mCWIGEVRmv5CH9PBYPDqq6+eP3/+2WefPV7dH+B4ituRyXcMG4IZisKPx+5JMx+ZIcv8rVtZr2eX - lviYIknvKS+Kra0tpaePTjDT1+qnvlWfDSNNhDEExlmpfVrYOAiRpBGm1x7MVhta624a6oAC2y83 - q4HAIrMp+BITsXNMV/cnmbOKnUcqpFQoFQgFQjIK9ojgmT2TY7LeM7EDY8l5Ys+e2DGSp8+cMUIA - RCYUKLYm/afn6ljHvFA3Nm/ITv8v/p//0ubxt371la/+1nfe+fi9D3f2qlZGUjzzlDpx9pnhaFN2 - s8qevzTbbA/ZO69BjkBPrIcQibk3GgxH2ZP2EuFOJ5iZkyRxzhljDg4S0Y0bN1599dW5ubmFhYX7 - jwUdf8KB8XN8DLQo6NFrAL4IGOM7nWJ/PzOmGgT3fCbGuo3tWwEILRWARwRG2s+LP73yztcXTr47 - 6F4oV7b6+clKZTTJqmWlOLZMEfLGcD9WQW80mkuSWEYCNAlpJTtLJJTxriDvyTsBDsAzovUSSSMI - KQRgCHhblbMnIEAP3jMzEbNn8p6dByZgEsDTru1EKBmAXUAfdXakQcrHzXp0ws1v37xBM2Jtc6u4 - Neitr1OzlFjxP3/vD4u8+P7r/2szscvVZ/qgI7ODas6x8wwyMq2luUFviOT2O51OtIlPfhSIiPr9 - fhRFhwUAAIqieP3115eXl7/97W9XKpUjV905fe9FiTvwj+9MBdwVUw1QFI+5G+5jgffQ75v9/SLP - fal0TzcAEceTTKHIEz/dbQUEl6QqSkJ4KiFWMeoFozmtZZTPNcqDiV0MS/lkOFMrF3lerpcYYH/c - 4clQaz3Js4k3KJBt4RRm7DyRYFCAklEJadEjCgFo/c/b3RGRZfJADojQe/AGvUdiAAYgmlY3ICMQ - eZDADCJAKxyAAKVIMSAq5y8snfyk3Q0bbHDU78hqUK0unP2zv/zTUit0cRsg3h0EnECURAAofE1C - 7XdPL/447QRxfP780/LfREmkcy5N0zuZPPv7+z/84Q8XFxcvX758hC56pyN7LxNouo/GwSUH/95L - BryftoN+zCmwxwJmHg7t/n4+HttmM7yXwnPeFkWxfP5Ce7QlIBBgHLtmGP3Hky/mHp+uzZnCLkW1 - UAYNVYoLacZdJPIjF2g3SV2jVOoWQw1BwtEky0usvfcCpEFkQOeHTgETaZSCQRIpQCQHQIUHLaUH - YGblgYgKJAAwRJ6ImBwTI1gmAiZgFp7IswAkD4JZIoIUWk9snnkXBdw6/9SJSnTqueW3t+jTdhZl - 9L/9p/8ka0l1abYXdFB0jJuZ6GoNbVCt5BGmjMPJ8Htf+ebb19/kUrJ89qK8P/v5y8TdB3RX3rZz - 7urVq6+++mq9Xj916tRhZwDv1gnirgKglLqrF3FXK2jaC2jaD/0+/pZ/BRSFb7eL4dB6T/eSYWd8 - HMe97l5YDmJy31TVD33nx72rH/Q+ZJYBAiIoh1oHiOJyebbWjJ3PWifnKqVQDGHV7FnMt3vGGlEI - ytiNyTomS77QGCA6cgjAKApyQikkRk8hAgl0zIKAAdADE5Fg8p5x2rAahBCeCaepbGYGRimYUCDD - dBMPRM8cVeLnLp016XNXd/ff2/3w2bmVfDuYRN1gvjlwaWd0pRa0Xlr+9vvXPuyYTVXJt3KRzYnd - YB9qAUbZ//Lxf4NA+WycZXmj9uW+nvvAg0nkZDJ56623FhcXS6XSzMzM9JUfNuIPz+M7J4QQIgzD - Ka3ofnJhzOwcTRvyPNA4vxwwQ1FQp5P3esbae1bKM3sHopLUP5psRF6ETsdBeYf6A5bSOu0hBiVQ - K0MK4M20g4xaiJC7CmUoJEsmBtRaKNIMjjGyoggCyy5A8AAEFBE6AC+UAGRgLwUxCO+EQM2eUDhA - QiZmAiZ0XhCCdOCsd9MhCmApBAATgACMUKNwAYRGeE3una1tnqS56Q2Z28PrQvGFuUVwwoM7c/qZ - eqUaFqPffe6rG5sN52ylUo+ieGamVSlVozDoD7rzpfKF5Qs3rn0yO9OUMv4y39HnQgVBcJijfzym - htBPfvKTubm5F154oVwu48/brR1d/u/0ARBxuvzfNQV25A7MTATG0LQI6zH9vY8Z3tNg4Lrdwhif - JHc3cFGI/XF/sdkaT9KO9v/s2pm1oBURChEDMQolkCUILRUJoYWUUgJ5QGScfonAgpmQfeQpYEyB - A3ISsfDWM8cgUUpH3htb0SykdNaWtNQoQhJALlJIDBKEYlmv1JmYCZXAMAiIvBYi0mGEUCmX93b3 - IiWW507s7OxH1QowmiJFS+jzdncPERRypEuBCllBOsnqtUYglRTaWXjqQsO5wlERR7UoTECSFsGF - 5cvsIe+n2XDV0ze+5Bf0uVBf/epXB4NBr9fr9/tFUXzuBVNyxI9+9KNWq3X27NkDcsT9FHZNyXAH - InFQGnaPC5GZioJGI/tF7IjxWEAEw6Hpdk2W+Vrt7hQjqcWZuTlUEnP3MtZPNSpLzYZPJ/O1ykxj - sZpAkeft/th7H4bh/Exr/cZ6opP5VjPP8yxLZaCKvHj63Pl3P3pnbetmpOJGs0UE3rooCsJIfHTt - GgsxX6or5v1sXxNEQeiIpCwQIy0jCaYQVoCIgkiiLkUlJZVkqYIoKVf6/bZlX3inQcqxWynPOefs - yM9EtdMLS4PBcMLaossLOtE6Yaw13mmtS6Ukz229HimEufnZWzvbYaJatVPZJM3NMAgi5tx7H6DK - x90wCJ0ryOfwBJZE/vEf//FoNOp0Oqurqx9++OH6+rq1n7PzXFEU77333srKyuzs7AFD7k4r6G42 - /VEm3OGvjpxPxNM9sScT98QKADNPJq7TKbLME909G1CNK7/+7DPO+xNzlTRPl+ZPcGaTIH5qZQ65 - FAdgnbu6cavRaEghBODZeLZSSYBQSlHYNM/zKIyq5UpVPH/xxIkkLAEKR4TETByE4VOLZ9I8A+ZI - KeMteocMkZIorfeoUGvkgq1EoXSAUiqlSklJgiysQyGsPXOgrKXU5WotyzJri1ZzplKu60Bev3ad - mdNsVImi8XhsvRNCSimcN4GSTF5rfXJ+QWmpBDhrUBCCEpIZHKJAlJqR2MtABMGTVxR/6dIl7721 - Nsuy/f39119//e/+7u96vd7xlw0GgzfeeOOZZ56p1Wr36u9510DQMTyII/bPtLKpKCjPn8QkwBTT - KG2nk49G9p4CUIr/h199+fj7LMw1fv5hee6u55w7feIRRvrwmPn67L/K7345UFJKKWUQBEmSNJvN - 06dPLy8v//mf//na2toxlxHR2tralStXVlZWpDyOCXPkqml/3CPH7xYGRZ6mahw9Cnv5S4C1BxtX - PljHuF/iScBn1svBqpwkyTe+8Y0/+ZM/WVpaOv7KNE2vXLkyHo+POeeIYEwzMkeW/7visJkUBOJz - i07+FTGtV+73zZOZrPgljoeYlilaa6dbpXnvtdYvvfTSH/3RH9Xr9WOuJKLV1dWbN2+6e/DU7rrS - H444HfgM97gcmEEILJVUEDxxGcQDEPFwaHu94rFv3vpLfAkQ1lpzCNbaaTjilVde+f3f//1j6J/M - vLe399Of/nRvb+9ei/qdk/ugHuBA5xzDG2UGKTGKpNZPHIfkMNLUTQNBvxSAf3MQ04WfbsM5N5WB - Uqn03e9+97d/+7fj+J6ZizzP33333XfffTfLsumRO/kRR3BnzuHe6bDPuhRKCU8eh+rnYIY8991u - kWXuCex68EscD3E4LMO3W/dMXdVms/l7v/d7L7/88r30ADN3Op2f/OQn6+vrBw1/Dr66qwAc6Y54 - vBsw1RbewxO+slpLvZ4ZjdwT2Pnslzged1la+XbvBkQ8efLk9773vQsXLtyT6OLctWvX3nzzzdFo - dD/ByoPeWHfNEtxx5LNswBM+sZzjXs8Mh09uwu6XuBfu0tiJD/UxF0KcP3/+D/7gD+bm7h6cZubx - ePzWW2/duHHDWnvEtrmrD3CkPy7czoL9ovn02ao/jYQ+eQnEXwAzTP3gR9nC9Zf4V4G4F2/5wFsN - guCFF1747ne/G4bhXW/hvd/c3Hz77be73e7nOsEHbIi79ow48pEIvKcnf1YR8WTi+n3zy0DQvznc - vbXfYSUAAKVS6dvf/vYzzzxzr4hNmqbvv//+tWvXDrxhuAenGv7/9r7suY0jybvu7uoTAAEQICke - IiXNSLKlly+84YcJz8PMnzx/xMTGRuyOHetdy5JWokjxwEHi7LO+hyRbEC5SxNWA8QuFgkezurqR - WXlnIpTMiUlW61sWxINSKIriEFp5pFsCIIR8P6rXg253zQBLhjGlWF989hjjYrH4t7/9zXWHJ3TH - cXx6evqvf/3r4uICVPxRRjC6dQShr4/8ry/GCQ+EIQqCkUulB2GoGo1g7QhaOpBRidB9QoAx9v33 - 3//444+jylkgMPz27dt2uw3UP3RlpRSE2xIv0CjiBvM3CCKYzjvpg84YoAW12+tQwJKBjHdE9rrt - Xdf9+9//fnR0NFQRiqLo7Ozs999/r9frfRlvg1cmPtNRDeRuVSAVhioI0qtXYHzzDyHU6UStVhCG - abdY1ujFHQzQKwQIITs7O3/5y190fXib306nc3p6Wq1WEy1/6GVgXo+VADiOVRTFUaSCYNLBwLMG - 5CmFoWq3w1YrBB/voje1xn1xR4g1EQJAg5qmPX/+fH9/f+jFURR1Op1utzu+xGxUTujtHW8kQBzf - 9ERptdKrWN8mciOEVLsdwjT5tQRYItzNAECvQNMY43w+/+rVq6HjAoQQ2WxWSglZn6PWTCTAYIs4 - pVRPcqoKQ3V97V9dBakNhN3KMRSGqtkMq1W/1QrTLK/W6MPdSTYgBBLLVUp5eHi4u7s7GBsulUrf - ffddsVgkZKRtDUhWS5ihJzkCoS8WcHx1FVxf+yknKRg6f33tv3lz/fFjq9N58CDXNeaNe3WFAEq9 - +QPGdnZ2Xr9+DZXEvVRu2/bTp0+z2ez4jtNJqkUYhoR8icQltvXtFF4Uhur6Opj6XIwpAvYJaluj - Ef7yS3131yyV9PRnsK4BuO+HlBjECKGNjY0ffvjhr3/9a7lcTi7AGGuaZlkW53y80QoMAFmowS16 - MlLVrRdVhWHsedGyJNgEQXx62vmv/6qfnHTWlsCy4Bv6AiVyQAjx+PFjx3Eopf/4xz+gKIwQkiRO - j/GBAoABPM+Le6g+jmNKKcZEKRzHCv6ln4p6nzUM1clJ+/S03e26uk7TXMm5BuDbxHSitQshSqXS - 69evt7a2YJSqEMKyLPT1CIxRi4Rh2Gq1ms1mq9Vqt9vdbtfzPN/3vRv4YRgBAxByd5PdxaKXRSEc - VqsFnheDIrdGyvHNvRoTOUAI2dzczOfzZ2dnzWbTNM1sNssYGzz+B9uMdrtdhFCn09E0TUoppQR7 - IIqguYhgTAYBjSIFOROTPuUcEYZxqxX4fhTHihCM0L0GIa+xKDywWSlQuWVZP/30UxzHnz592tnZ - 2dvb0zSt16WDRlQ8gtJPKeWce54XBIGmaVEUtVqtIAiF0Gx7g1I35U2BhiIMVacTeV4cRYoQkGCL - 3tMao/EQBkiCuJzzJ0+eUEqr1Woulzs8PBRCDOY1DO0OhG4jYqAygf4Ds5gY42GoTJM3GqhS8dLZ - GHQUlFKeF/l+HEWKMaXU3Q3z1lggHi4BwGbNZDIvX74MgkAIIaWklPaVxYxfRCnl+z7GOIqibrcb - BEEcx2EYtNtNQtrX17RW85ZLAsQx8rw4COIwjBkDD++a+tOLifq1K6UIIYZh9LbCHaT+8fwQxzHw - THhb+hXHyvc9z+u2WrzdXrKgUhzf5C+F4Y0Xay0B0oyHB2t6cxl6f/gAz2WiCyXLgBzwvDAIlor8 - EVIKBUHs+1EYxgO1n2ukDpNGK/tM3r5v77lCb37o7Q/jMAwgBWnCHc4fvh8HgQIJkMT1Fr2pNYZj - CgyQyIEkqvWAdfr+Ko7jKIJ8oQk3OG8odZPFFIagBX0p8F8jhZjUBkA9Lh00ug74znUGYwVRFCg1 - vAw/zVAKxXHs+1EQxFEEMRO6toNTi+FF8fdHcvZDctv4JNDx6/T9II59QqLlioIBGIt8/3Oz2QzD - OIoQsMFaCKQT05naNws1V6mQsTDNTRGHQinUaOAgyFQqHzkPNW2Tc7KOBqQWU6CvmRl5Eed++uZq - 3gGwAXyfmObuxcXp9fV1FIGNtLYE0og0H7BK00LOl49qoij2vDgMSSazc3z8PgiiKLpxBy16a2v0 - Y1IbYJZQQoSGsXy5NFGEgiDudLqtVoNSHgRJTfO4OqE1FoJUaxicx1ISQlCU3pqwfkDoNwj8bve6 - 3T7XNO3y0tG0MmOCkLUZkDqkWQIgSpVpqmH19+kFxoiQGCE/CK7DsNNqXR0fv6tWK0tR3PMHRJpt - AERILKUiZJkIhzGk6xFjLaVg2qxqNGpv3vxaq9WUWr6o9soj1QyAMTIMtEQSAGPEmCoUQte9Uqqd - HPnX1/X/+Z9foGnkQje4Rj/GdYZbOAhBUsaMLZMdzDkqlQJd9zGOe7ddrVbfvn3r+36aX/gfEKmW - AAgpXVdCLHoX34LblPDBnPD4+Pjj8fHxqKGaaywEqWYAjJEQsa4vkwRQCl1dIaWG7Njzur///ubz - 589rHkgPUs0ACCEh0HI5gpRCvo/DcPiLbTabv/322/n5ebREnt2VxlIwABo9rTh1UAoapo9q/avq - 9fqbN2/Oz8/XciANSHUgDCHEObJtJQTqdJYglwYSfigdPnYNEMdxpVJRSkVRVCgUhBBpDsWsPNLO - AJQi00S6rq6v8WIZIKHS8dtQClE6UgIAoiiqVCphGHY6nVKpZJrmqCm0a8waaX/vjCnLUlKmIhqQ - 1P6PuoAQJATWdTyeARBCcRxfXV29efPm3bt3V1dXa5NgUZgTAzxYylOKDANJqdJwRN46N0cSN6XI - NGPDiO/zuHEct1qt9+/fv337ds0Di8I8yGoSHRdjJKVKVTx41ONgjDQNbWwgy4ru/8S+73/69Ond - u3fX19drHpg/5sEAk8Q+gap0XVGalmiAUmroTghBpqmKRWWa6psqOYEH3r9/32w21zwwZ8xJsXgw - D2CMGEOalhYJMKaykVLkOCiff0joOgiC4+Pj9+/ft9vtVI8EXDmkQLP+guGERQgSAlGaBicoHvji - CxhDlqVsWz1gq0opz/M+fvz44cOHTqezzheaG1LFAMM/dUIQYygNbZYx7m/13vsrsNc17eH77Ha7 - Hz58OD4+7na7ax6YD1LFACNBCEqDFyjBUOpkTOm6mjB3FfxCp6envu8PNsxbY+pIeyAM3dRYDbc7 - 57yRMbQItgrnU1DVms3m27dvlVIbGxuMMcYY5xymCU648hqDWAIGQEhN5kqd0iZGOH8ACQNMLqmU - UhAjq9VqpmlaluU4jmEYfIkyopYHS8AACyf9+wBsAM5vxiJNuJpSqtFodDodIUQmk9nY2CgUCrZt - r3lg6lgCBkA3DTcXvQmEEBpJ3CABwFifFsJbwPwEjLFt22zpWoWlG8vxNuN4wZlwgFstaAgbJCrQ - 1OWV7/v1eh1jTCklhFiWRVMSE1kJLAEDYIziGEVRqtOhCUGcq6nYAIMIguDq6gqsYcaYhGZJa0wD - S8AASqEgQGGYElNgyDYwvglWzE5FD4KgXq8DD1BKNU1bvFtgJbAEDBDHqN1GQbDofSCERud0YIw4 - BwaYiZyK47jb7dZqNSEE5xxGMq95YHIsAQMEAanXcRCkXQVibNIo2HgopdrtdqVSEUIIIWzbXhsD - k4OlP9DY6dB6XaU8SxISlmZhBCeAKspms3l5eanrOucc5nPO6n5/DKRdAhBC223RaAQp51NClBBo - Di5KMIg1TdM0jTG2LimeEGl3JlDKfV/4/iL1nzspDIxgIeaUs+15XrVavby8XNcPTI5FSoCEsMao - YYxxpVgcTyG8OgH6Z/gNglIkJSZkTuG6Tqdzfn4upRRCGIYBXtG1KHgAFsYAGGNCCGOsd0b84DWM - cUrTEP8fR/2QByElnpt3Po7jRqNxcnLCGMtms+AbhSjBnHawKpjt+yKEjJkgBgzAGAvD0Pf9YMDT - SQjRdV3XBSEEoYXJ+tuTdZwMYAxJeUc3lOkijuNarQaZc7quG4bhuq5pmmv36DeB3SncH4wkeh9F - URRFfXdJJmwzxjRN03W93W57ntcrCoTQbNtxXaJptN1esLKLMR7FAxgjIZBhzFtJi6KoXq83Gg3O - uWma+Xy+UCi4rss5X/PAPcGg0c0seABjzDnXNC2KIjjg+8o7wK+HEAKHhq7rjUaj3W7DD3VdLxTy - +fzG9naUz+u1mj/1Hd7zKb7+esirwhgZBtb1BRQtwOHi+z684SiKMMau667lwD0xwzgAMICu6xjj - KIq63W6324XERrgpCAGEEOS3mKZpmmaz2fQ8jxDium6xWLRtd28veP7c/fCh5XmLEQLwhoCchr4t - QpBtI84XmbAaBEGj0UikruM4a3vgPmAzm/J7o+JzzoUQhBDTNDudTqvV6na70BdWKQWmG4Q2OeeW - ZbmuC3qRYRhQBVIqsX/7t8J//Ef148fWLPZ5JzCGY3+knKRUZbOYsQWHKqIoajQahBDOOYTJ1qHi - OzHDQwI+CeABcFNYlmVZVrPZ7HQ6QRBgjOHgl1JqmgYX01vAtxhj0yQvX2aeP3c/f+4EwbxP2aQP - ypgsIE1DudxcLeChAH9ao9E4OzuDFy6lXCtC4zErFQhjDNQMDABaPsbYNE3HcYABlFJCCKB+uBIA - DABVsBhjQlShoL1+nfv3f69UKt4sdjsJMEamiTKZe7VDnDWUUhAqBqEKoeJFbyrVmJUEAAbohRAC - JDL47MAgTvgElNcEvTXgGGNdZ4eHdrGoV6veQkLCt0IADQYEKEX5PDLNtKRqxHHs+36tVtN1XdM0 - MIgXvan0YlavhhACan2iAkFrA4hZCiESBoCLE+stIf1e2U0pLhS0Ukn+9lsjDBdmaw6VlkKgzU0k - RFoYACEURVGn06lUKsADpmmuFaFRmCED9On0gMTrmhBT0nA80XkgSNy7GsbIcUSpJDknC2SAQRCC - DEMViwjjFO0KIQTGQKVSkVJCpGXNA0Mxk0AYOEB7tfnk/4Tce2/aywOo5+zv/cykpFtbhq6TTme6 - m70vhr4lQpDjKMe5CRHMf1djEARBrVaDvNFMJrOOjg3FTCRAwgCJUZvwwJgBE32/6rtMCLK5qTsO - r9eD9NQwMIZsG0mJx3cNWgiUUt1u9/LyklIaRZHrurqur4uJ+zCT1wEM0KcCgWKTaPm9GFT9B5mE - UpzPa9mstiBvoxqsBoYsaClJakd5wwyOk5OT9+/fn52dtVqtdevpPsxEAiTez0HNp/cLOMiHfjG4 - JiE4kxGFgk4pWcSnOEpRVEIwStNLVVEUtdttyJWI47hUKq3ryHoxkzgAISQJbDHGeg9+NNBiv/fb - MR8MIdg0WaGgcY7nXyA/9C0pheIYC6EREmEcKJVSNoDgQK1Wg4QrsIkXvam0YCYSALIb+uzgQd3m - m84hjJGu02JRl5ItPDM0ASHUMAxNU0p5UbSYdL17IoqiWq1mGAYEJddZEoDp2wBJEmjCAL3UP4nA - EYLkcppl8XkK8Fun7fDfGgbLZEzDcKW0Um5fwgyOy8vLarXa6XTWxgBg+hIA9B+Iw/eqQKOs2/uD - MZLNCttmGKeiRQrGyHXFxoZhWZIQhVDYajXS46EahFKq2WxeXFyYpgkiem0MzIQBkkSUXgfo5Csz - hh2HZzJiQXZwPygluZzmusI0pWFwXcfn5yfNZjMNexuFKIqq1aplWVBBtmaAmTDAndr/w4Axsiye - zQpK52oHjzrTGcMbG8JxuK4z25auq0mpnZwc12q1NPOA7/sXFxe2bUMa4h+cB6astuLbUveE+qeo - GROCpaS5nKZpqTDgdJ0WCrppciGoEMyyzO3t7aOjJ47jLHpr4wCK0Pn5eaPRWHdVmbIEgBqXPtfn - tM4YjLGmkUxGaNrizU2MkW3zfF4zDCYEoRRRSjRNF2KTMfbLLz/X6/VF73EkoiiqVCqO40A9xh9Z - CEyZAfqOfzSQ4DAJMEaaRl2XGwYjBMfxPMzNMXUw2azI5TQpKeckMfUJwcViwTD+37t3705PT9vt - dgrNYsiSODs7c10X/HWL3tHCMH0VCAyA3rS2KVIAY9hxhGkuPsGdUrKxoWWzQtcp54RSjLGCFlqU - Usdxnj59+vTp00wmM8j/aThxoZ/K58+f08mic8P0JUBSynif+O63gjFi28yyGKVzkgCjoGk3yXlC - EMYwpRjUPfgtxlhKubW1xRj7+PHjxcVF0gEA9ZwIs0jFvT/CMDw7O7NtG8oGFrWNxWJWDNCb8TbF - 9SnFpskdh8/ZETQIy+LlsmFZwABk8EExxpqmFYtFXdeFENVqNQxDz/NSddx2Op2TkxPHcQqFwh8z - NjxNBsAYJzlwyQ/7Mn8mvgWSkjoOZ2yRveIoxcWiXi5Lw2Cg/xAyRNBBTDCbzVqW9csvv0Bu5vX1 - deJ7WTgzKKXq9frHjx8Nw7BtOw262ZwxTRsA1N8kCXQWb5MQbBjUcQTnU5Yt3wTGyNaWzOc1TSOM - faX89AHCgoZhvHr1ijF2cHAA46/TQ2qgCB0fH3e73YUz5PwxTQkA+k9S/D6t+FcvwBHkOFzTKEIL - Sz4TgpRKRiYjQP8h5I56AFCHXr16pZSSUr5///78/HywF+qi4Hnep0+fwGj5oxkDU2MACIFBEgQc - /0opaI47VRUIC0Ech+s6XWBGkGGwzU3dNBnn9P6iDryNhUIBFMXT01PPS0WXF5jL/eHDB4RQqVSS - Ui56R/PDlCUAOJV744P+GeMAAAyYSURBVF9Tl/WcE8fhhsHGjK2eKTBGGxtie9swDMbYcO1/DKCh - OUQNTk5OUmIWQ69pxtj5+fn333//x+GBqdkAEAGAHLiZJgZTii2LSUkXlX3MOTk8tMtlqWkE44dw - OGMsk8ns7+/v7OxA79RZ7PNbEYZhtVqt1Wr//Oc/06OezRpTkwBgAECPt1k4QBNQii2LgyMoDBfg - CMpkxIsXmVxOu/X9P2QRSmkmkwFRmR4D1Pd9hFC32/348eP+/n7KKxymgilmqt2UAfRVAE9r/Z4b - YcfhpZJuGDf6NyHzO0EJwYeH9pMnDtx9klsTQmzb3tvb29nZSYnpqZQCHqjX638QITAdBoAUIIj4 - 9B4bsyk4xpbFHj+2t7YMSMKZZ12HZbHvv8+Wy1KIbzB/RwGSJvb29srl8ngemKeaBPEc6OC98pia - CpR0wJ1W/dcoQFXAs2fuDz90pKStVthshicnnTl0jMMY7eyYL15kHIcTMh3JA7389/f3gyA4Ozsb - de5OPatqFDDGuq7ruo4QiuN45bWgKTAAxL8gn6Q3C2h2h5au070986efSk+eOLWa/5//WatW/WZz - 5gygafTlS3dvz9R1SimZ1vMRQjKZzMHBQRRFl5eXo3gA+lvNmgeghUS73W42m+DXXu0UiekwAHTa - 0DQtqYCZqcimFLsuf/LE3tkxrq8DpdDPP9dbrdkO08YYb27KV6+y2ax2m/s5tWeklOZyucePH1NK - Ly8vfd+H9ql9Bz8MXJvWTQcB0RvP846Pjz3PK5fLxWJxRkH9lGAKvUExxkIIaDjTWwMw07fGGLEs - IiWTkj5+bG1u6qen7SiaIQdwjv/8Z/fw0NF1Oib34cFgjEGWhGmaFxcXjUYDtHCgvyiK4jiGtzo7 - IZCMrup2u58/f47jWAiRz+dXuMH6pBoeBIBhzGNvA3Q0F4WVUiwEKRT03V1z1nWSmYx49SpbKOgz - aoQIgZRsNntwcHB0dJREZJVSmqYZhoEQmmepcRiGlUqlUqmstjtoUs7Gt5PwwP8Dx9UctKAEhBDX - 5QcHluPwdntWjgtC8OPH9rNnjmlCpvdM7gLKpGmamqZZlpXJZEAUZDIZ0zTPz8+bzSaoQHMoJACX - 6NXVle/7KxwYngIDgP7TlwExN0CC9O6uubkpz8+7M6qSMYwb7yfkcc70GRNRYJrm5uam53kQLZZS - Hh8fX11dza2SPY7jbrcLBsmqmgETMQCcWFJKXdd751+gOfqtMUack1JJ7u+b//u/V53O9IkDY7y1 - JV++zNg2h+jv1G8x9KbgVwDig8mZUF9Wq9Xm46QH2yMNIerZYSIjODn++yIAsObceIBSksnww0M7 - l9NOTtpT/7wYw8+fu3t7phCg/EzT/3MnkgNFSlkul+Gguby8DMNwDlrQTNdPAyaqzKCUapompRzU - f+YrMZWus4MDa3fXvLjo+v6ULcVMRrx+nctkRFLpOd317wkIUW1uboKJNSZiMMU7onl/lPPGw128 - oKqappnoPwuxAW53gotF/c9/drNZMV0VhRD8+LH15Ikj5RRyHyaHEKJYLB4cHBSLxVk391Q9mN1d - Fgv2YNcyRH+llL1tQOd/ZsD2Mca2zZ8+df77v+2rq6DbnZoloOv09etcsaiPKvydM+DcKRQKGOM4 - ji8uLmZqD8RxvNpJQeRhgW5CiK7rtm0bhgFFwL0SYP4HBiE3pvDTp850hUCppL94kTFNlobjHwA8 - kM/nocJ4pqkKQRB4npfmVqcTgkH35juNfdwzv4hSKoRwXRdayoB3ojf6O39KIYRwjm2b7+9bW1tG - tep53hSYkFL8pz+5jx4Zi9X+hwLkQBzH0PB5RjQahmG73Y6iaFWDwQxaecLY6vGXwhkPWZ+maTqO - A8d/n/9nETYAIgQxRqSk5bI8OLD+7/9avt+dXA45Dv/uu6zrCkpTRfw3YIwVi8UwDIMguL6+noXg - jeO40+mscA9dQnoGmI66CE59CE86jpPNZl3XhYBlXyPoRZCJwhgTgiEtIpsVh4d2qaQzNnmWB9rd - NR8/tm69n6kD6ELlcvnw8NCyrBndBabrraodTBBCySDHcdcRouu6YRiWZZmmCaOmesfgLdA5CKFZ - SokQxLLY7q55eGhP3j9UCPrsmbuxoWGMZh39fTAgXra9vX10dGTb9tTXV0qFYQh5eFNfPA240Vv6 - qlgGnxb0fiiVgNLH3hFgi82YBcObUsQ5kZIVi/qzZ265LCmdaEsbG+LoyDaMFDWxGgVN03Z3d58/ - f57L5aa+W3wzJW1FJQCQe58a08cD+LbjA5B+Qv0JA6AFh0sU7JwxAm2zHj+2IHHtwSsSgnd3rc1N - HVg7/Z8+57xUKo3qR/1gLMqumxsYvk3eTHJOEELgW0iYPjn+k7M/6X+YpH8uVgIghAhBlCLOsa7T - Ukm+eJH99dfrZvP6YelxpskODizb5uBRXQoKAN9ou93udrudTmdayy7Erz03fDnyoV1ZcronNA3p - /oZhwEgpUP2TMTApOR5ATScEM0Y0jdg2Pzy0/vQn1zAeIgQwRvm8VipJIchCem89GJqmlcvl7e1t - IcRUFgTtYJUZIAljAU0nbADxXcj1tyzLsqyE+ofOf184gAGAB2B617NnTj6v9QXF7rNbzsn2tpHL - iZ70VrUUnIAxNgxjZ2cnn89PsZ59hYUAg3wSUHh6BzdwzkEp0jTNcRzLsqDqJfF7JppPahgAI6QI - QZRizollsUePzHJZfvrU/loLUnfq9JbFy2VpmiwZe5GSZ7wPoNXKo0ePoBX75IS7whYwQohBLzco - OU1ivcnpDmEvyPhPvP5p0PuHIiFUpZAQpFjUd3fNn3+uB8FXUVKYLzbqMyUEF4t6oaALQcDCT9tj - 3gnOeS6X29ra6na7k/ff7S3PXz0wXdfDMIyiCIRA4hQCPUf0YNBTtOjNDwfoQpxTx2F7e5bj8Gaz - t2HETU5bGA7nAF0npZLuulwImjR5SW0cYCjwbfFAs9k8PT2dJJtt9bNBpZTAACABEgYAXR8cPr1K - f+I1AqSQLDDGhCjGsGHwclkWi/rnz52kYYRSCiFCKWh9g3+LMhlRLOqmyWHyF0QIU/iY4wH9th49 - ehQEAVTPPHipFQ4DI1CBOOeJDZDoP+wWYzIdUksWcGYLQQoFbWvL+PXXr0olo0iNsg+FoJubMpfT - NI3czj6CGsi5VoFNBdBnJYoijHG1WoXS3gesk2ZpPzmYrut9FjAQ+uC86+TgT//rwBgTghjDmYx4 - 9Miwbd7tfjnGgNOH/RWybVYq6a4rdJ3C9BcIJ6f/kYdCCAGVA1LKSqXSarW+VRQAJeD51rjOE0wI - ATIusYABvaSfnAFL5A7DGEZKsq0tI5sVlYqXZDQqheJ4yLNwTopFWShIGP5+awOgJZUA6DZTKJ/P - 67qezWYvLy9PTk6+qZASkiBTNdRsumCMMRhh2/uESXbDYJHXsrwIUNw1jW5u6pub8v37Zm/fuMHs - eYyR4/DtbZnLCcOguk45xyABltEGSAA8QCk1DCObzXLO3759e//iASGEaZqrzACEEKVUb7QvedQp - RlLmD4wxxopzkstp29uGlMzzvgzVU+qr7m4YYynJ9raxtWU4DpeSahoVgkwyAiM9gKgO9K8/PDzs - dDqfPn26zx8SQiABflWrYVBSDwCqHvkaaMlzoTBGlN6c667Le0PCSn2JhWGMdJ3u7JhHR06hoFkW - NwwmJeWczKEN1twA8tyyrCdPnjiOc58/IYTYtm1Z1go3iP4qBbqP7he9t0kBT2SabHvbLBT6s6OB - AaCx3Pa2fPbM3dkxMhlhWQxsAGj1cmsArAgwxplM5ujoCEZW3nkx+MFXgBhG4StyT+xg+N2yP/at - M5RubuqPHhlS0p5fYYQQpVhKWioZT586+/tmPq85DgcG0DS6AgbAUFBKNzc3S6XSnc8Vx3EQBKvq - /wF8peWv3nNijDjH+bz29Km9ualzDv5cJARxHFEqyaMj+8UL9+jIKZVkJiMcR5gm1zQCBsBqSMI+ - gFd0d3fXNM3xV8Zx3G63YWrYqmJljRsAODFtmz97lnn1qtluRxcXXU1jpZIsl2WhoG9siEJBB83H - NLlp3hz/YADcOQJ+SUEIyeVypVLp3bt34wvefd9fbSGw8gyAMVa6Th89Mn78sUgp/vChJSXb3zd3 - dkzH4bpOhKBCEE0juk51nUpJNI0w9sAZwMsCIcT29vbl5WW9Xh91DdgAK2wBo5VnAHQrBDIZ8eKF - 67q8UvEIwa7LLYvBnC8ImXFO4ODXNMo5hhl4q0v/N9bw3t6e53mjysdM08zn85qmrfCL+P/uopbe - k2ChCAAAAABJRU5ErkJggg== + + iVBORw0KGgoAAAANSUhEUgAAAIAAAACACAYAAADDPmHLAAAACXBIWXMAAA7EAAAOxAGVKw4bAAAg + AElEQVR4Ae2dB5jc9Xnn3+l9tvdVXxVUQSC66cI2LjE2BBsnsYOTOHf22bmL88R3yV2SS3x+cjxO + cey4BM5xbAc3cGxjbAwGBKIIIUBCBSFpd7XSapu2l+kz9/n+RoN5uCQuh2Bn0U+andnZmf/85//2 + 9/2+78/szDpzBc5cgTNX4MwVeJ1eAc/r6XsHQyE7d8uFHYma5IcvPv+8Nzc0NCx/ctczPdls5gs9 + h174wu7duwuZTOb1dEnM+3r6tmvWbfyoPxg43lSb/HgymdiUiMcTne2tG6dn5j5bKBa/USqVXk+X + w31X/0L/xj6fz3Srb2z6jbqG+r8JWslu+a1brLm52eZm5ywcDtu+5w9ZJBp7VyAQWJvP5/fr9Vo8 + toXOFOVvuoC5IBgMGqq+dvW69duCgYD/ogvPt7Vr1zrC1yRrHIHn5mbt+MCgZdOpzrm5ua+L6CHM + xeuBARa8CRAhFy1d9ofRWCx09sZ1ds3WaywcCrubx+uxJUuW2Hmbz7FoNGptHR1vSyQSIckD2sC8 + 3gV/eRa+DxCLxT21dQ0fWrd6tb35TW+yWCxmgWDAAn4I7PG4m5hgVVcXBPd7Gxsbt8gESPo9/H2h + rwXP4s1t7UsikUji8ssusdraWojqtVAw7PwCr9dnRXyCRCJuZ5+90Yzf29vb3yRtkMvlzjDAQuD+ + RE3t+eFQ0FpbW80QaB9qXapd0q3HpWLRcvmc1dXUOKbwBwJn19XVWZHndVvoa8FrAAh9tg9bn86k + HS0V6ZUKIjoqXhyBRshlC4aWsHgiYdMzs2vFAFoLPQLQd1zwDACNz/E4SS85gkr6JfGZbMbS3DIw + hpzBENHCos4Ons8t8fv9wdeDA/j6YACzpVLzXl9Z7RdLRefg5dJZS6UzVsyh5lEL/oDf1p21Gmbw + elH9SxUFvB7WgtcAqPkGEVNef5njSzBADpOQskwqbVgDpwf1mkUdHS78y2Zzy2USzpiABSAChHS1 + QcI+qXl5gfL682iELBpAoV4unzE/YZ8SRtFY1CWIsvncUkUCr4e14DVAoVAI1OLhl2N6/AAkvpAv + WCYH8fEBZOt9Xr/5/D6LhCMWJEnk9/mdCTiTB6h+EXCZHJw6F/Lp6+QLhRedv3Q265xAqoGKB5z6 + j8djYoIOqf8zDFD9DOBVVm9oeMQRXl9HsX0ewssZzBL+5TOKCLL4AqgGuIByAVrB16LXnmEAXYXq + XmE4AGeuYNICbkH4HAT3eEoWjvhtOjVHLOzFLJTzAjIX/qC/5UwYWN2Er5x9QlIsb392drZCf8vj + Corgfr/XqX2p+zx+QYGQIIofUCqWWs+YgMolrO77VklyRZpFVP3zkQ2UsycTEA75LYUP4PP5LZvP + WtbVALyNfO0FXyoXaRd0FBCLx1dLAwj0kSHpIylPzxH7F0rkBSgEYQ5C/iAXAaYgUQR/YBpgGL+X + QqFHTLDg14JmgLr6xsvEAEr9Kg9QzBfJ9U+bD0cviwkIquwL1cEAQGiP1dXWnMoYwhzFItWjhb8W + NgM0NLyFPIDz/GPRmOUKeUdoqf08ar+ABvDBIF6YQAyibGAiFgEj4AjfIuZZ6GvBYQJl7+XxQ8wE + OMAlGbz8s9ZcYMUCMo6TFyPbl8MUiPBW8vBfGgLGENXBAyQSSQyCW2c0QDVyv4gvm7946bJLhPiJ + IO0iaKGQc/fKC5Sw+qFY0uLRuDQ/OYK8ZYGD+7D/IIKMCJHlWVSN3/8XPecFqQEE+2pubbspAh7w + fb/2Hlu6bJlD+GSJ/0kFmcpCgWDIqX2fXMCitIHiA7MlizqhPSUkr3cpvy74teB8AEm41Dhh3rVt + rc3W0NjgsnvK9xeKOUrAaRI9ASMFAMEhuR/ie/AF0BwVHKDMRDAc6lLYuNDXgmMAOW6JZLLD6/O0 + X3P1VZasSbrwT5k/QJ8QHkJTCELbu3JvUQkhogLe5n6XM0jTCGYkuloO5EJfC44B5ASGo7Fr/Th0 + 7jEJHx/iXiAE9BPrB6C8Yv1iriDz76qDUgRKBEneyRpbPBK2QDjYxvtrzjBAFV4B8v9vVw0gHos7 + JhAjBEI+CE/CJ+i3oEsCQexTJoDUr/uWsIaSQA4fwCO6haJnV+HX/4VOecFpAPkAEPxSSXkMUIdU + vhignOHD5+VxyWkB5J/H4oE8voEwAlIJMiECh8os1Dc2v/EXuppV+OIFxwCEgQ2o88aaZNzaO9qd + lJPvgdBIv5jBh2zzrYUM0nPuEfmAQklJImoERAeqCIbpHejo6PzdpqYmhxhWh9FCTAwtOAYIhcOb + 5dC1NLcQ+2PnT6X1lPoVOLSs+MUE5VoPcFEI6552zqL8hJaWFmtqqLNwLFoHA4QrlcEzDCARmecr + HIleJV3e3tbm+v2K2PdSMU+ir2RZVL2W+gFE8zLhy/E/dCdXwGwAnlSr2FJueiXdRAmliKUBFuJa + cBqAIRDXCt/X2tJUpheUls0vlrD9BVR9HrXPc2IA/RQTUC2wHIwyNT4N00ToImqxdevWklImp5Cs + qZUGSKVSvLb8rvKBF8bPhcYAnlAovCFCGLd+3bpyXC+tzypQDHBtYLksvgCEhKiYfkwBoFAYQe5A + TV2y/DshYR19hIKPwQT1ShAt1JzAgmIAoN3NaICAagHSAlmInaMGUMQXyKRTJICyrjNYBHULqnvE + BdIE/KwhASRCyzWkndwCMALo4YaFnBFcULWAUDiyRoRtxXOXs5cm7av2rxSTQIpUAkXIImCQgo/U + rxfpVh2AEEHEV+dQllBQLWM5gKKRU0yUn8k3nmEAXdUqWMmamvN0mp0UdITvEwQ8TwMInT7lXL/Q + v1T+/AUqgiiBgl8tYzz2ylEsN4xKOwAKxV9gSggh4WSx1PRyBlh11jqYK+U0y9DACVdoqoLL86+e + 4oIyAXUNjdeor1+Ek2+vjp9wJGRRbjL4Rap+suv6J82fSWW55wHPwy+OoPIFAr6A0x6CiHOcU96k + 3IaSLVqyVJiCa6Lh0BONTU0PnL3lgnetWLnKdRb9q1d4nj+5oEwARaBLJMHq+9cSwXLUAOZSMgMp + vP0CzKFicNn7DzE3IKDikAsTCQPRDkESQFgG5/WrPxDeaHqp9x+LRDzZVPp2sgeLMyCNc+n0lU3N + LeeMDA0+Wy43u4+umh8LhgHw5pWwSXgJ+WrB9iG6DugpkEc4GjZ1/JSdOrqCAYaq6BOMBjATmgQi + egkXUGYQNY8qOkjwHlilSZjBqakpVy7mwMvC0cjiJH9T/+DY2JiaSn4dx/PZ6elpx3RVQ333ravp + bP+dcyVebxbyt5Hxb01NjU7Vq8JHXZ+sXqMmhTmGkJQqItA8AJkDqXw5hriHZA2J93EYlT2U1Ldp + qoh5GsUMlTDQ4/FtZIqIaYiERs0l4gnBy9ZUa6Ko6jWAiKPO3vbOzqWCfdVDmDaygFL/InYUMGge + J3ASCZ6bm4HaJavlNYKBgQNx4SKi755XMCjfQJVAvV+KgSihSX6FmEVMQWl5uZpKFGrqNQ5L4PUs + 19/0e7WtqncCVf0TBKy2rn6dqn8O+EFIJ2KIcIGAD9uuoRAiICkfXq9+AIV/KgMXZQLc62EC6Kdi + kfSB3q9kEHeNIn5l8XCx8gpl7UFISehYKJaW8lnOkFReVy33C4IBZIuRyAtl+9esWuUIKQJIovMQ + PxAOWDCgog+yjU8gpikDQAgDYQoJrsJAhwtwr4Ex+F3NIqz4yyS7Tr2FcjalfTIklzhAGBOxWS+u + tlX1DCAiSAP4A8GrGuvrraO9zRFPz8uWD/SfsCgmQqpaTaKSbkdx/kbiCIlH+0PMEsQWithpBfeS + kp0cHRM91SX0ErqWEm7mEFyjIpGPAzBhFCcz8UleV/+SF1bFwwXhA9AChhb3dKaBeSXx2KX6xQAa + +FDG//lcbkBSr/Yw6OvCP5V+gQdCYTIDUgNohwISXXAmwRkCaQ3Pi53FvA9uIE7kpTCFfI+m5iYb + HR2ljlC3dUvLxaOBQPD5sbHRd/f1du+encHnmOer6jWACIH0RyrXWRlAkU7tYCKQvPU52sE0DEIa + QRU+Zgbj7PEqfABJsLx6Bw7mIGIEJZCk9pU+FgNUTIDuPV5frvK7TInAIytXdgEgCVgGJ3NidHgN + x/rHzkWLK6c0r++rXgOIGIA76qCUs+Py/AX/UsJnZnbGzQQW8TUb0CV5hA7Gp5MvUIIhyhaBey9M + QUIojjmR/y/GmiSuF9O81AmEmin5Fo7xgJJraQKpmE0lY2mD2XRmaX5y0v1tvv+oegYQISjkEPcp + pAtYZ2eHs/F6XgQR0WUO9DetIuGftESRpE+5ECwlqKpgWRsIIVTCy3cMMDXtNIbzJ8RgvIaPmVak + oXUKf+heqzyAEkbuNVPT8ZmJ6mCAqjcBjhB+/7KC0wQAP/DeRTzdopEov2vmPyTmd5q+3WO9xxWL + MBMChDqi8TdVBJ1K4KccPL3eT11Aj7V0TELAk158Cb1Hv4s5HIO5yMLnsoOFbF4Qg6pYVc8AZSL4 + VvghlqZ+SSqdQ8fllxPoIGHIrcI8mQbZ+zQTQ0RoZff0WKlfibZ+pObm3CwBqXQxiUJBGOBFcqJB + RnSMyqowgT5XzqKYAdzBnD63GtaCMAHY6VW63CKeiFmhluy3lh/CK/mjzIDTECR7RCDtD+Q6hVxM + jxvAQaTKK0Ml1TUcgLBIuw5UoeiJihqRFnj5UgTCbdIZi5f/cR7+XvUaoGyfvesE89JFVweQiFhx + 1FTSJVnvNEBBFSBWBNMwl5p1Klx4wKKAIEwOVbbQRRFoC0mzWsjlEEJod530WaxeaZLKEkOJ6GIm + +RzsOAKgJHNYELRqWD/9JtVwtv/GOeLRLxXBhQMQFEw7gkg1a4loYgqZBZfC5X6OxE1YOQIkXAR0 + JkP30h4wks/Z/7K5OEVrdzAdl9d281HOLxCT6P1CHk1MTDCObtjmyEXw4c+8qC/+jXOeL09XPQOI + 0ChiB9uSs8aUT3dty7Y+ZTNIpHr/EfRyXM+rQwEBRPQypoMplcsxFCWoazgME2lHERFWIaWYBgK7 + XICYi7cN85nD8hH0eXqdJF9l4WlwBzIzoI6+4wsE3XnM9x9VzwBc4AAEYcoLCZxTTpiYQskcTfwC + sOEQwRoE6Qw5FFQhyGkEJYKoBQSoE4joahGXeneq/5R91x3OomMXZ1ZEYiT8lDlwWmRuLmVpXqL3 + Dg8NPEoC6eH5TvjK+VU9A0D4sKgjj162WNLoJBeClx0xhYTlQhAPRDyIL3Wgd8ES/K6CkFBEQUI+ + VQOFJawsRQ+YCscAFceOCuD9svWaPTjL/SQYAo2dGR87Odp/tPd9vK4EQ7lpo5XjzNf7qmcALmxc + Xrk0gFS9kDtiBkm4sH0iuFS3ikFqB5OJUPpXMX8ANe6GRaD+SfiLFwj7MCGQW9qEQ7ib1LyWpF7p + YxjtmyMjI6kTJ06w3dyQTZHznxoftbmpyT9JJpOTHOZDfn/gN92b5vmPqmcAiFMr8RTBRTT1Auhe + ywvhRfQAv+smJqjE68L7RcNMAoEJ5Pjl0R5iBkUQldcoW+hwA6USVoF5gziBeZ5jykgfxP/E4Og4 + GoB9B9ACCTqKli9f/pmOjo4RkMafgQlS7iTm+Y+qZwAkrZGL7UTVEbOi7sUQSLNKvFL1lZwATyD9 + svs4fYBF1AWs5hF58goZK5tKSdrZPwgt4ZjJFZukNeRL0EP4/rqm1j+VFZmeHIP46iTusEWLFmnX + MVdnyGbS4/Oc9u70yqJSDWf6b5xjLJFolU0PyytHghWPS4LlCzibrXo/JiGbweHj+YoaFyRMEj5D + PkBg0Jpkkk8oY/9kQrR0r1Qw0q9JkhN6rqa27paa2trb8Cs8g8eOkm0MWQ0VQRWEhDuUppBrQW5h + RK+f76vqNQCtYMtlqFXFk/1OEeMrJhdKSNIvoosR0jDGDLZaTpv2BxCuLwcYQCqezSUBdDBNBMKV + q4kqG2NOCAPB/+uxGxsr4pIZvAYn8I7nn3v2H4QnqAeEoiKQQCn6zEoSilDw5Hwnvs6v6hmArN56 + iZwkMcwtiEOnaEDAjxB2PnjKDKi2L699ijKt9goWQ8h3qKutowOYiSD4C4KPVaRfxBfjCGGEY7dO + F0uwb0bN3nxyePAWCP+A1L6QwZJ+R3gYJJ0pvw/Y2BkG0EU73cvr958vlSvCCQ0US8SY7ROBIErE + 4LXzN0m5mETpWRF+HCYQQ7B9vKBczldIURSagcDSEgrxHnrkURxEkkL4CjiMb5XpkAYgv/BWwsJB + CH+HbL6DhvO50jSVMFTfGee0Khig6n0APPPluuDy4hUJjIHjE9hDvoCIrpkAyuQXS9IKRQAbY9jq + Ouf9q+ij4pGIPj4+bpMwhogoop+g56+pod49hshvI+yLwgRzqP+GaCRcq9ExulWygXqfPlPOJCsL + Q07rwXxfVc0A2P8IN38Z2uVxiGB59FLHQTRALpt3Nl9g0Dk8ehG7AYhYnEGSSaRfYaGkXSie/v5+ + GxwcdMwgaaegY0sXddgQz2ECop2dnQdxLH8XjvI1NjCAEodPdl/5BzmcL97wQXiup5I7OMMAp/cK + dMIAjliSvOP9x60Bp+zo0aO2Zs0ay3vylqiJ0wRK8YcKoGYEq+1bk0RFfDkB8gtOnjxpTzz5lL1w + +LCNDAwQMkp3eHEsow70KSJj8zuR6rtFdEm+7L7UvnwFfbb8A2mQWXUWmeeICk3VsKpaA0DALbLL + ctZmKcT0AwEXURUFiDBSz/zZcqR3lSNQjB+PJ12voIiWz8/a0NCQ/cvd91gfTCPwqBxDL5tIKEeg + 6p52Egrx+FTvwYshn46nz5Dki4nEGAMwT4rEEOdz8AwDnCb2F8Ertw2bz7tJH6PBz8rWH+rpRQuc + gBhRW79+A0QPQCQg4h4/ziHMgCQr5Kt4+j09Pfad7//Aeo4csTQAUk0Sm4EJBCxRNbG+oZFewVnz + JtlIis9RaOjCSo4jBtJNxNfxNFkMU2EDQ8MyAXvlE1TDqjoNIPssyW5obAozEOJa2drJ0WHXpOnF + qZuGII8+vsPOP/98GKADXyDkEj5ByrMiniSzr6/Pntq1yx559HE7cfy4PHujt8AKgECV7g3zHmYN + ubBSzDY9PmYZQky1gclnUBpZvYE6F2mBVXQjSSOoJ3Hvvv1yRp85owFOE/vrouvik427HoKGs6hg + Sbbr+A2R7UNKT46N2ze+8U376Ec/4oil5g8RUksqW6r6gZ88YKO8Lqxcger8hIoNeP3CAMqJlMoX + w8izV4FpcrJs45VA0msqTLBly5Zy0onz0uuJQEYZOrnnjBN4GhlAFx9n7q36CDV/SiNUbHE8iXMG + E0xMTtgwNlyxupBCkkgxj7J2Bw4csIETA8wNlokQIISogce19PxLjesmBtCSY6clVa8kjxJMw8ND + jgl1HrvQJJL8rq4uF3EAGv04BSKswk9Lyu4A8/RHeVzmPD25f+20pGqVe29safkMHUEJlXbzDHhU + G5ZscpLMnnz4Sy66CE+9nKMvO4NlDSAm0DEOHTpE4wj2nfcLTSxGyALqEPPoffqMSgt4xdkTA+gz + lG/IZNK0gzVQPw44jbNv/4FMb3f350gyfWIQDaM6QzWsqmMAFXwSifiihqbW/yFiaQl+FWEOQLK2 + 3lX9YlTnbrzxBleZkyRKUismQK+Xx66JIcePHwMcqqpt2bEs4Li5cG6ajiKlldEsUuU6hu6VbPKh + TWYJ+VzPAJ+vdHN/b/cnyRtch2/x/XH8hXLmUZ80/1f1MQDxezwRv5pJ3jeFeCzIt5C8btoXUqd9 + gbtWLLerr77aEUz2XDctxfMipjSAbueee64jtJhATqJGxIl4ApaMT0zBOGVsgGy7Xi9GkgaZI9aX + 5qmpq7WZqckMfsGbh08OpQUNq7ZVdVEANCQcC14kYsoeh3HgArRqyVlTWVdFnTqSQRlUdSVZUyGK + tIAYQJ686vZS7d3d3bZ27VqXD3jqqads+/ZH8QFqaBiZs57ePlu2ZJHzG0R8mQU1m84wY2h0aMCF + n/GEZ2pi/GT6pRqm8nnVcF91DKCxXYlk/cV06WKLqfARbqPA8cB1o8GT6k8tBKw4fRUVLinW0r0c + QUmy1P1dd91FFZDkEU6jkkBsNef2FJRfoNKytIMIX4kKZBoaGuttdnKcrHAeExCtC/gj0YAvM4er + 6D6jmn5UHQOA4wsmkrUXzY6xAyh9/cGEegFo2edWypWbQVWjJ0fgEjeSzMpNhKnAxcQgt956qx07 + 1s+zZUSvIkU9ryQQI+dslChC7d9NbCWnJabRscKhgMVrUP8wTSIYBRYQvoIJE/e4F1XZj6rDAzCh + 88OZuYw3NakxsFmbnkISuegaEKVEjTz+rpVdLrkjgmm9NCYvm47y8OcndzzpnMYEef01a1bZpZde + wpTRRQ4sMoQnH6R55ASZRXn+Lkrg86QVNGZUE0d1LOkfnyf8e67k6D6tun5UhQYIe5O2PHjRDaR0 + b+cG7rYV1b+ba18ikQOUC1OQTk/jC5QxgPLejx075lA+stkVyZUzqLKvKnnKG2hFSQ2rQCSfYMWK + FXbWWWfZPff80GULp5FwYQL6OJbeK+ZyxaOREeoGMxYlkpApCgeTW0PBiRs43LfdQavox7yPAmTf + 39/w1S1Bb+S+Zv/KEOg+qw922mjuqMWaCNUg3sTMgJPKOMygSWFyCC+88EJn7xXyyYOX6pcmkA8g + NX/vvffaE088gfkIkAZOsEHEYvYJaHUhohI7IyMnyQBOyjjYGNXCZvYQ0PsnsP19R49bDUyk0NPn + DVq4BBbQgu/K5zP7/L7gAW1No1U81Ys4n/lh3jMAF89zQex9Tyd9rbGQL2ozhWELFpC8ldzHg/T5 + Ab71pi0WTrDdm/b7C7vU7c6dO+0w5V1pAkltxQkU8Xfs2GF33323yxROUTlUbN8J9EuOntS6GEjO + 3pHD3TAAap7nlNxRmXlwcIjwrx6mibHRNLjDDNqp53rrKJzj7Yxv/NVIMhwr+nP35QsZJojN/7Bw + 3psAvyd4Hfn1ZmdrLWQtQQov3gheeAM9AIM4gTSAhGssFkT6gzGnqqXyRWhQPE7l9/b2Og0g4joV + jkQrxSsgqMz4MMTde+CgU/FiAkm6VH6YjScUTtY0NbsMozKAchTlWrS3xYGVMZ7uwGUWJf0ca6i1 + lnyXrchf/AfbI5/bkc6m7pyaHZ3Pwu/ObV5rAJ/H77uo7f3bujovjBfSxGoQJuALOZvu08yGhlEL + JRkTFweNG4xT1AlbChSQ6v5K/MpxkzTrpthfdl/3et5582gLJX0ECQPsiR8BjAx/QrmCKRBE6vzR + WPgli3EM5fSJMegjbG1NWmtbiy1tXW2ZpxdZKQNmIBGx7Byv8eUtFmho7pt95ssT0+AT5vmadxpA + fXzYUZdpa4wu/9jGtutanE2FVWVvRdicP2NBprXJQfOGSf7gnFnRr40eGdA0YYMnxyyG9CoxpAZR + +eqSdDdDgAfK0zuYKP6AxrxJW0zDBC8cPGjDaAfNDxCAVJpAjp/wBSUYQkzT2FRvV191BR1CGfOM + tNp0M3sK9NEhPIwTWoNpIg0dnq3ZFPCXs4/znP4O5zCvzlHEDwaiVp/obH/j8j/8RAwHa+LoqAN6 + ektwQZHUr5emDkq8IhyAQCSasa411OhR29OkaYXlnwXlK5y/Jn8J4uV1c+DcjB/AI3n3Xt7qRsc2 + d4IbABc4xWCnUWy8GkSZ9+fQxTXsPayhwgnQxkITda1cYZdefIWdGOyzdE3QhhuyNjeC1glyXlnS + zBGY0WN12XxKHCBk6rxe804DyMgGA2HPxra3/qgxsMSXHklZsiVkmSm8+JR69Yj58/TtM5pXaJ8S + F10EqqtNWHNdG8Oi623v8y+gsmkBl9pG1kX8YIRhTtyropcC+KEsoPYQcGqdeD+KP1Bb30L9QPsL + cVyk388cgZp6JtAxV662pt46OxbbtVuvxaGUtolYKUKzaYh5hBFqEtGQzY1RWi4QcXg53+xcF5Tf + N6+pz8nNu0SQEH4rE5d+fGPy7RvI7FpNM6BO+m3j9Y1utGvRS1ePPw2fKCsnLcAewWQDa5FUOXWN + ZO1WLltKuzZSTvNekdxAoeC39JwXx5Em0BRInhLbywdqUfUN5AGacPhq0RaYHV4rXIAf/yJfxE/Q + ptMqEWN6VB/QfoLsEuLCO+1MVhTkHI5UE2qsIWyRupBlpzNEJPVWE25793wnvs5vXmkAxfxBb2zN + xZ0f+IR5yOoVmOg1BqYPBI7Hyx49kTmSPZRzMQupBImeZMymitO2eFEbRZoGq0dKZe+lwkXEPfsO + Oi0RwXGkP5i8ET2DWer5Wap7POMNYB7gIjmWUUPS85IHEEGBDMwx4RgghDQXkOo0Tug6tqLTOSrr + qM/gVCxS47fRQtZijbwuR4HK0B70H1zZ9qGPjc703pPJzTyeyWl4FYwruzXP1vxiAI83eF3Xf3sw + Hm7wZNKjVppGlc6gynHIZsjgeSI4fy3E1g39VowNg/bFQStGaOBopmq3whrrm13hJsXAp4GBfpsA + ytV3dNBqrMGKmJDCDFPDJ9kWNtVq/jyOHWPBBP/2alPJoJhByRsNluCG9vDnopZbxHFiOx3mQN3D + YhhpH7WXBQCaNq722olnwSUen8B8nNIIIIyaoivC71796ceemPzSn/aNPPtnJyeOzzPSl09n3oSB + uqhrm7d+bXPr9RcK4lsg/EqPkYbBUYt1eqzz0qJd/h+a7WSh2zZdvdiitQEbGB0ihdtiK5d32QVb + LnJVPjWEqBVcpeBDJILGTk5bw+RK8/asNM84iaLZpRbMUw0sMRImTxSBlvEQQXhzvC+LGShQXMqH + YZCI+cLY9qka87WmbHCm2zafs8F9hjqGJ2doFsYhlYOZnS3Y4P4ZS01QmvQCG0thNnAGo37MRuT8 + KzhOzdHRXffm0RTzbc0LBpAy7YhvuP5da//Xnwf92PzpQUtPF3H8tN0bA5lXp275t5QAABjsSURB + VOzGP1tvdW1R69rYadEEc3nzc/bM7r12xaUX2zlnn/MiMFPHUtYvRN//oe4XaPSYsGT/OivM0To2 + GbV8ho2hSOG6DSOReDFLefNISCP7wfv1T02FngKaAZoGBtttrHG37T+0395w8cUuqRRiPvXUzCSf + xQxCHM4Tz81aajTDIAra1HEOSSVYqJYNLDArNZGOiyayx8eHpg7vOMMAL7sCKGC7MPn+xmvWfGRn + NFjLjlzC6YHEHZnBaiNFzSW78VYkOFyexqWOnkwhZffe/xNb0rnIzlq9hli+xeX7K4cuq2mPdfd1 + W//RIUuMdFl+MmdZOvb9efr92CzKJzRRCF+Am5chEMWsUEUVn1gDqDVfGPkQL2C6a4pLbDB7yPpH + e+3czeeQq1DhyQcQdJYoAFzhHFnGAQFSqA3glGoA5ezwrPkjQbSMzzrjm950dPapbflSulc4RO05 + MB9wg5VvXLl2r/p9XWCJZ1X7JffXxFqRRS5MaYqLjuov4YU3jdlbPtFKgoX+O0yCiOLn4j393E6b + GJ+icreK5g3y8iRqnNTq7CGYlrxzNyRST0hVAyQpBz1INUUaZfb8gRLaAPeQm4vf8Qkk0WIgNziK + iKCcK+DoJ2ttUc/bbdfO/XbrX32GrqEhVziKRINIetFWbY1axyYqi0maRwhLQ3GGFzWRsj4xzjEZ + P+ep9Vy/7BN3dTSvbQwGIs7xdCf6Gv94TRlAXbwbm97yH9sa1mwq0LiZorav5E02N2OJtdP2se9f + ba2ryxtAqJonTP53fnCn7dtz2AE1WppaXOgnglUILzWuDJ7SuTIFYgTn1LFdLJ4FxC1vGOEncROi + mBRAAyDMbnS8cIVaHtK9RH4ch82hSDA5cwADhQJxayqutUNHjtjOJ/e4ZFITu5RniSySHQHb8K5a + S7aKachRzIIomgQ7iLbJ8ViNJk01XXUXLLnp3rqadud+vsa0dx//mjJAyJ9s3bTorZ8J+ABbFrn4 + DHgKlCB0pmiX3bwWctFyDTRLBJadfXj7I9j0MTs5NGldy1ZQ+o05KXzphRTBFW6JJ7QZ9NDEEITn + H8kcD3n6EqGfmMWn5FAM9R9G4jmAtL+f0E57CPhhIrYPgIEAmc4xdCrExDBsOixjbeOXo6eCdu99 + D1BO3gHDemzF6i4kP2At68PWtVUdw/gbhH1F4OolulIK+B3ZyXJlcIXvys1rG7feKhMyH9ZrxgA+ + X9Bz/Vl/8aOaaIuT0sx0imyc32ZGSbs2B23jlUud2pdqVz7+oe332779++jg7YVYPkK+BgfQVK3f + aYBTV7NiV3PyuEV0kVf/4S8Ni5B2gB9c8sZPdpBt4PgbT2B25NG7Un5QJgCDxL2YR76BmACRt/xY + AYf1fJuam7B/vuPr9uDDPyb9PG6RGGo95LHF54es4zw6lvEJQvxOdhqTQIIwzXtnss6MbYpc/1/4 + 3m983TKAiHJR52/81pK6zZuExZ8ZBpBZmqbNWzt7YBauazbF8q4Ygx3evusBe+FQjx07cRKmyFgH + 4AyZBN2cikfqdUzu3JrOMuwhMwp0BKkTYSGmS9xwrxSvfqeORJiHP8DvKhIJ5y/i696jJI80AmYC + 2lkuReIHhzBcE7CaZah0qomFOQ9zgfP2g3vu4SNwBsEciFGDca+tfwcI4sVlc6JqpT+Eg8l5pCfY + xRxGiESTtrnpRqGHOstn/Nr9fE00QMgfbbiw8+bPB6jrnxw4jngAwGig5ap/wjo3h23VNSRuIIwm + eAydHLDuQ/02APHz1ADk2HV0tNP9u85dcL1OTKAFXfkBwUppm8qN2PZtT1tnW7sjpgv3JIosad9g + DDuvX0n4uL0GeU6IYj+mwYtWkJbQKoeJeBFiFMCgkXrCU6a/jI0MUkuYYWexjH3pK7eT/k2SCGJa + GYWoaH3ALvwAGIGmILUKQKQ4hN4gB8SMTfXTOMKx1je9KX750g/ez0dols1rtl51BlDC5w1LP3hX + OJDw9o/uox4/ZYFYwY4eO2LB2pxtvDlBClbERAq5PbLtMTuwr5vcvjSCWUdLM63YbNkKtFt/rxBf + qjpHcUh1/ZmplD30w13Y4JJtXLEBrVImvFS5bLtAxF7Uu/wKEcPxj+y/HiC48gdkMrT4SGc68mgB + aahoA6YhlAWGdvKOp3fuWMGY+d6nntpvt932Bfd6YQ1iOI4dG2N2xYfbnJ8xN1Wymna/Y4QSEcLE + sWE0TcA2tb1t9dLa86QJXrP1qjNAW3zt9Rd03nzZyHCvecn0JWpjNjo3YFOzw3bp73RaQxcUYink + e/hhiP/8YTuwd7cN9A86grcwlWvDhg0vEl9EKzMCPQIZiD89a9/79v02eqhgzT1vsIG7myw3xSwg + 9YAqjETCnYrHU1fuXtSV1y7VL3MhJsPbc48dE/KLQkE+xDmOVKt5DXmKybGPplOp7icf275VO5Ie + lInqO+Y0hrSQP+S1RecH7Mr/3GEJNML4Uew/ZiccJUmEUzjVf9Jq/G32q+v/6m2bO67/i3Jxq8yo + fNqrtl5VBgj74rEPbP7SHZDAJoYZ5JAEPEEMnvA22ZvevdXWXAW4kjMS8Q+/0G1P7nrKHtv+8JcO + PX/w3bhi1PuDTOVqcqGfVLKWCJctMRa+OGb9J4/ZF//PP1qBfb2Cj1zo7G4pOEsRCdwg+X4RykvY + h+uAviAcJPmjvYTERPiVVlD2T/90VTgu1gFqlyMKXqZf8AuMKWNjJ9KZtBsESb/g4YHj3f+Uoiz9 + 4LZtLjEkhsFjgNCkpLvydsXvN5ITAIxKijgIA0RIFJVmmG08Q+aQtPOVnR/6o5bEyvdWtJk+6dVa + ryoDXLX4I//k84VC00zqakyQ0oUBJodTFls5bpvfg0o/pXZ7acl6cNsjtvvZZ79yvK/vFiT3R6rB + r1q22LVuVwCekjStTAG4V37a7vvxNlsMuKN99hwIzfjXUWb3nMhbahygJ/klUVb23ofXr00k0MZw + ghNvJ/ViDLFGxf7LV1A5WPsNl4lTNhGjYyM7KxNA1Io2OTn6O9n09OgLR3pt9+7nbGp6yk0b03GU + aQzUzdlV/7XOlr0BHCGfKzhbtC5oecArAfoPg76Y3bjuf395VeNll4gBX831qjFATbj1Decsuv6d + 2qAhPTYJkNMsPZW1CEDOy97Xxe9l6mte3/7nCfe6X9i5f++e9+vCA9S8up7izrJly10fnyMTz2tX + UNncFL16e545aOOjk9YcbbPhZyCYb8rGD5RsuofK3TB1/QzHP6XG8xmkXwUnDiQnTwAx+Qu+sKSf + mwgPXwScMyiCSBUgvXEe83B45PizSjSVFziDmXRmZGjgokxqtnTfTx5k/sDzDnSqwdJayYaIJRbl + 7eIP1tjGd4AnACcEH6LRAKgwcNpDpjLma/Rds+zDP2xJrGpXguzVWqf9k2TbQiTEb1h3690CXAwf + 7MEZAvaVVCLG7Nxfq7OWVZrTK2kriPD29J5nJ/bveeaNADaLssMrV635s43U4pcvX+5m8Ygp9Lxw + eyL++MCM3fOdbbYkudKO3JGkmIS3PZhG0hkPg1rRlj/aIVyjXb1IP4ofCkBLmRHUQB5IWX6ORlPS + uiK+qwEoX0SOX6pfm07K9gejMBISnErPbK+oa42bnZmmkfTI0UMjgwPvU9/ATx562A2qUhexlnIV + tLQTFXhs87vqbcvN7WiACN8XpuQ7FAl5hXRuiq9K3LDmLx/riK0Pq17waqzTzgDi5os63/+Z9sS6 + 5PTAOMUR0DNciLrOkC3ZUmvr3qbOHjlWVNSAZz/40CN25NAL1w2eGBoXkesbGs6mYXO9EDia9qHl + MntS5Th0c9jRO++8x5bUddnk3V2kXekS8o5AUBw7tEokrn3/sP2oapkYJYMk5fId/IRmRVS8iC+J + x/WgPkByCGJ7SQfqWE4jiHF4v2L8LB59JN+4q0IctZPr3IU23rNnz1cGjvd+7sSJQfv2d75HiKi8 + BSNs8AgC9DSIyPG6gJ3z9ibrupgwsZ6q5iQFJJgwi+bTdaiPLFpycfst3xVI5dVYp50B+ELnXL7s + d35bNf7MTBqPmFQpV7pxU8k2vQcVQFZc/6YZyrDt4UeRpBf+5Pnnnn9cF1WJnsaW1q8VceFlczW6 + xb0aDSABkS19YgdgjSxzfp48F0llMGRm3OZGPSB0wPfj5Pl5bZCEjtv6j28rydUWMZEafuE8stOk + e0H7aM6QiB3Ae1cCSEWh1ARqAPK592AeYo1UIlOFY/6CvMrKgqNOvUbJoEMHD39obOT49u7ebvvx + jx9w29eKCRRyKmGkc65t9dvFvw4oBUaTzS9gknJcG6kb+RtL6jdfu7LhDb8iATjd67QyANLvR/Xf + q685erwfQiBF1NETLUFrXR+1aA3QbS687Pgzu3fbM7ueenznYzv/XJs56ssDz76Y4c9rx0HsaqJH + hfi6aP4I5VdKsc8fesGa+i50djU7zrzfYwx2Gqf1exCwJoT2cxx3L+lX0gdzgC1waJ65cQpQgDnk + BzrNoAwg0YayhooOMvxN5lghnZI7Sg3PjKcfke/x04XYnlr6LjMzs6Xuw0euTc9MH3v2uefsEeYN + uHFynKtQzBpT7wXZ1LC8ZJ2bYvg+XvCK2siKrW5HNF1W3cdxu3LZh77k9ypfeXrXaWWAze03fjIR + am462rOHEp/UbsB51c1rfNaxrM4RX5Led+y43Xf/PbNPPPbYG2niQEFomFPSs2rd+q+qP6+trdWu + e8t1Zc+a6+HlYhWp6t39g/ssOdFl44eox6dQw0NoGT4nh1OlEC6DapdaV44eujoP3CXmIW6Wv2UF + NxOIh+N5YZY8qGONl82l8QvSsBvmQVDvAP6KP0nvwMS0FScCnx/N9L+MKj9lAn2f0dGJVG9PzwW5 + THp6+6NPONOmnUxmwToQPjJhBI3mmUGjlP0K+SDqOsphziZ6hl2zSm2oo+4dZ33ij1/2Qa/4r6eF + ASRH9ZHFZ12x9Lc/lhMgMjsHIVB1SIDPn7P2tWzsKIJwsaT673/wh/bwA49sRVLYmBkJoGOnY9Gi + a0PB4LI1q1ba1jdudc9JwnTskrdA0qXfHr73GZv9yTJQPly8tFQ18iM17uw4zh9c4EN6JWVOtsnE + iAmFD5joQ/pnym3iKASncaQRdIwC8XqeiqTeLxtfolQdiGI6EtnhbZO3b58r/vstX3JmSQoN9B45 + /IapyYncP371DnwVNpbCjM0xeSSDxpscA+6+CMbD6XTpRu707bSj6Sh4RuER1jRe/vsdNRs4u9O3 + TgsDhPwx73s3ffaHQOFA5BykgxfbSc08k52x9rP9VtPJvrx401KNO5/aaT/+0X23zs2mHtfXFAMA + 7/Zg+29vJ+X79ne83S6/7HJ3BcQwukyjY6P22U9/2RbVdBkIbEc0Vf587B/GHnKARiC34NzK+km6 + sel+zsFl2/jGWQg/O5pFGTAxTM+jJmSHsdJOY0yPMmuAvH7F9nuWjdmR4AP21Yf+6ivPdW8vSZX/ + rKXX0Ji6u7/v6DvVXfzpz32R78xn4Au4eQPUqcIt4A3qOEfOSUkp5R0cw/E4TV7D5w1FVjVcXv7y + P+sDf8m/v+IMEPXV26bGd/xxMtSy5NjJPdg2sl6zJD0sbfFGry25GAQNhRihb7u7j1JTf+xo/9ET + H3/p+eP1/wpbwHRs2XKunXfueW4XcP1dF1ANH7fd9jXUaMqaBs533rpseCGL7WZQZAD77YegMiNQ + HFUOOoe6vzxx5f/TED+N4ycTIXYSQWQWgnENkwIaRj6ggEZx/gKHCLEjUTE+at1Dz9j+7if/emKO + UbD8+1lLzCNCM5Ty7qH+Yx85CYLoLz/1N47A+u7qW0g2M/F0JX4R16OclnZnBCaCzamUu2bVhFr+ + 5Gd91v/P319RBogwyGFz9IauzW3v/O+p1Li90PMoNXAuFlO7M74RW3Q5M3XXsa0rEqqdNnc8vtO2 + Pbjt1xn2pG/uFqPfvLX1DZ/toPlSUzjVgaMLJoIq7v/U3/6d9R8fsDe/5TJbfEufJS7vJXYXyofI + wMNYN3IvTnVDYTVrStrTU2rdIhwEqatys9Ss6gFy9gQFEwNFgXIFSPTMjgMa0ROsQIx5RM0QqCHF + LMDB7+DN94uwP++SxlLjKU2mf3fi2NFPaebAJ2/9a0rHM5wkfId5alrjtxVv5LwJBaWtHIoJ9JKf + XgSt+tDiS2PhBupY5RrJz/vZP+/rXlEG6AxuRgWHv1ATafEfHtqBMzOD1JL24qI3AJlat7XZxeJK + nuwG0fvYo9sHx0bHH6mcrC5ua3vHTczubV+9Grwfs370nC6KJPVbd/2L9Rw9am9729Vujv+unU/b + 4ZFnrBBgRHtQ1R7UKMyl9+QI7eTFiwlOds/Y4MFhGA+1Dw7Qw+vCgE+UkMowZSqXytvcJBnFiSww + sVP7B6KOYw1eq13hsaGRgVLfsb7f+3lUf+W7VO7FBBo1y14EHzs52P91jaf9h9tvJ/IBMQSjdV0R + s/olfqvvolbA+dIWSS4ChuA76F8y3+Zti53Vop7J07FeUQboCl5yWciTvCpI0mN5+CLbVHsDFS+y + XtTIz7qywxqXJRxxunt67fEdO+xIz+GXqzdfe+eiv13c2c68nkshqEJ1MolEQzt2PmV79u63m264 + HkDouD337F5webts975nbCZ4DOwdHj/EVe5eXnVBhR4eF8k/eNAMAmae7KYFfGoGRiiHfjnAGfEm + AKUwTR5vfw5c/9x4BrNCrr6BiaSLBBYFXXyw91vTU9N9ZR/kFyeD3qdexGN9fe+dGB25v+/4kH32 + c18g/KOBlc/qujpiXdfhrEL8HIkmPyjl/DRNp9QUJlMnrTGycq3/NCWGXlEGGMofug5L6wjnoWeP + MIhCR9bqiHm3vHOp42h5wI88+jgqlc2Wpyb/pXI5JbWNzc03N9TXNl133ZvdRk76m4ivMPGOb33b + rr7iMuvv67OHfrKtuH/fwe/te27/4oOHD1wm9FA2A/EoB1fUP4d7cQUijImh5TvKYMd4Uy0OYUXy + gYprw2eSUSrSOG1DjSKATQ4lKVW3MXP4eC57YrT3P1WKPy8e9Od8IOIrKtD72ceg2HP40HVMF3u8 + j/L2F29DE4Bw0jCKzi1Bu/BPaHJdRdWTCqkXrRkEKxnzsiFVLHLB6aoPKAh5xVa2NPfZjuDZHwPh + 6wvEtBN31KZp8WpvjVkYG6sLceD5g27UCqNZsoxyH9YEDi1CP9+5Wy742/OZ3qkePNlIQazYpdM+ + 8/kv2pWXvcGe3fXU5B133HEjuL+na+trR5V+BR94LFtM3eP3hq9TdbFQmEWlwwh0+FARcsfWFrGe + GECNNnr+WghLfVQKCU39nqhFuMCeqYilaRwtnAKOCDYeWwThwmk7cOyZP+of7R4Wc/yyS++tvB9N + kDvafeSapV0rH+k5Vtr82S/8vX38Dz7uBlepMbX0gXE7fJffJiliRWtpak0xki7u3+LtPz1ZwVc0 + xhzOvzC1N/WDz9MIcV5ny4ZlwThDHBI5Gjs24PFIFXvsm3d9x0aGR4Whe3B0ZPgrYgo9v7xr1Xu3 + Xrv15hveeT3OGV48sdHAwKDd9d3v2zVXXm733nPPc9/85jc3kjXcz/VMqRdghhyC6DJW6r032V76 + SCjKSBHUvb9E5w8oY4WCav5oXs0WMRuOWGDtoPWkn8rsOvajPc8df2R0X9+OMAml0IZNa1H5JKlI + ChXzpHzbccKWnLTH9vz44fv3fu2DY5Ou9P/L0v//eR/MkGMjin8OhyNXpzOFzr37dtr6detdC3qc + 7GhsGZD2MCCS51PWvjVgg1PP1/b07/1UOv3KD6J8RRlA35Qc2uzx6T1fHpw4tGfDkqtualkdtLXX + EKyz9jy51x7d+SSbNBwrkeb9zWO9PX0iPpO8fJdedvnDH/7dD4ZEfFXP+kDXfP62L9nWq660b9xx + x19897vffTfM4tSFBkZrnEtZslDdXnJ4wfSXg42Za4Otc82xAIMiayNWS8q5ZX3COs6PWX96b//3 + tn39xieeevQDPT3dXxg5OfS58ZnhW32J7LlbVl29St09MQo1ssnxjrztOvzQ/dsPfP8tw6MDuV/W + 9rsv/bIf+r46bxzK7Mz01Fdq6uqWzczlN+548knKylO2squL6aNoy+CcrdnaaBnvsPX274139x78 + n3NkEl/p9YozgHQ35RUbz/Y9788G/qk+mey9/4EfTV7x5otO/P2nbzswk539LtM3futYz5Gnp3Fy + dEFWrV5z883vvfk9nTh/Cpv6j584eg/A+8suuehPf3TPD265884774UITgfLKVRE8FKbLO98anZ8 + ajI19jn+/q0V7euPx1t9oYaOZDraEDk2/MLMHXc+cPtNRwb27VequXIRIUTJn0re1Z66KJVsCs7m + Z/x9EyeyX+k/PPLx+w7f/smRiX4AP6iFV3CJ+GIoHZc8QYGRtHcx2u4JyibxYCiSWLtmdXh2bpqG + 5ZQnTpKof/gQzvIB6z3acysdya/sybyC3+vMoc5cgTNX4MwVOHMFzlyBM1eguq7A/wVz5vLW4pFc + ugAAAABJRU5ErkJggg== + + + + + -42.338106 -42.357772 -7.999999 + 42.416807 -42.357799 -7.999999 + 42.416841 42.397145 -7.999999 + 42.416841 42.397145 -7.999999 + -42.338095 42.397145 -7.999999 + -42.338106 -42.357772 -7.999999 + + + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + 0.000000 0.000000 1.000000 + + + 0.039362 0.019681 -7.999999 + + + 0.000000 0.000000 + 1.000000 0.000000 + 1.000000 1.000000 + 1.000000 1.000000 + 0.000000 1.000000 + 0.000000 0.000000 + + + iVBORw0KGgoAAAANSUhEUgAAAIAAAACACAYAAADDPmHLAAAACXBIWXMAAA7EAAAOxAGVKw4bAAAg + AElEQVR4Ae2dB5jc9Xnn3+l9tvdVXxVUQSC66cI2LjE2BBsnsYOTOHf22bmL88R3yV2SS3x+cjxO + cey4BM5xbAc3cGxjbAwGBKIIIUBCBSFpd7XSapu2l+kz9/n+RoN5uCQuh2Bn0U+andnZmf/85//2 + 9/2+78/szDpzBc5cgTNX4MwVeJ1eAc/r6XsHQyE7d8uFHYma5IcvPv+8Nzc0NCx/ctczPdls5gs9 + h174wu7duwuZTOb1dEnM+3r6tmvWbfyoPxg43lSb/HgymdiUiMcTne2tG6dn5j5bKBa/USqVXk+X + w31X/0L/xj6fz3Srb2z6jbqG+r8JWslu+a1brLm52eZm5ywcDtu+5w9ZJBp7VyAQWJvP5/fr9Vo8 + toXOFOVvuoC5IBgMGqq+dvW69duCgYD/ogvPt7Vr1zrC1yRrHIHn5mbt+MCgZdOpzrm5ua+L6CHM + xeuBARa8CRAhFy1d9ofRWCx09sZ1ds3WaywcCrubx+uxJUuW2Hmbz7FoNGptHR1vSyQSIckD2sC8 + 3gV/eRa+DxCLxT21dQ0fWrd6tb35TW+yWCxmgWDAAn4I7PG4m5hgVVcXBPd7Gxsbt8gESPo9/H2h + rwXP4s1t7UsikUji8ssusdraWojqtVAw7PwCr9dnRXyCRCJuZ5+90Yzf29vb3yRtkMvlzjDAQuD+ + RE3t+eFQ0FpbW80QaB9qXapd0q3HpWLRcvmc1dXUOKbwBwJn19XVWZHndVvoa8FrAAh9tg9bn86k + HS0V6ZUKIjoqXhyBRshlC4aWsHgiYdMzs2vFAFoLPQLQd1zwDACNz/E4SS85gkr6JfGZbMbS3DIw + hpzBENHCos4Ons8t8fv9wdeDA/j6YACzpVLzXl9Z7RdLRefg5dJZS6UzVsyh5lEL/oDf1p21Gmbw + elH9SxUFvB7WgtcAqPkGEVNef5njSzBADpOQskwqbVgDpwf1mkUdHS78y2Zzy2USzpiABSAChHS1 + QcI+qXl5gfL682iELBpAoV4unzE/YZ8SRtFY1CWIsvncUkUCr4e14DVAoVAI1OLhl2N6/AAkvpAv + WCYH8fEBZOt9Xr/5/D6LhCMWJEnk9/mdCTiTB6h+EXCZHJw6F/Lp6+QLhRedv3Q265xAqoGKB5z6 + j8djYoIOqf8zDFD9DOBVVm9oeMQRXl9HsX0ewssZzBL+5TOKCLL4AqgGuIByAVrB16LXnmEAXYXq + XmE4AGeuYNICbkH4HAT3eEoWjvhtOjVHLOzFLJTzAjIX/qC/5UwYWN2Er5x9QlIsb392drZCf8vj + Corgfr/XqX2p+zx+QYGQIIofUCqWWs+YgMolrO77VklyRZpFVP3zkQ2UsycTEA75LYUP4PP5LZvP + WtbVALyNfO0FXyoXaRd0FBCLx1dLAwj0kSHpIylPzxH7F0rkBSgEYQ5C/iAXAaYgUQR/YBpgGL+X + QqFHTLDg14JmgLr6xsvEAEr9Kg9QzBfJ9U+bD0cviwkIquwL1cEAQGiP1dXWnMoYwhzFItWjhb8W + NgM0NLyFPIDz/GPRmOUKeUdoqf08ar+ABvDBIF6YQAyibGAiFgEj4AjfIuZZ6GvBYQJl7+XxQ8wE + OMAlGbz8s9ZcYMUCMo6TFyPbl8MUiPBW8vBfGgLGENXBAyQSSQyCW2c0QDVyv4gvm7946bJLhPiJ + IO0iaKGQc/fKC5Sw+qFY0uLRuDQ/OYK8ZYGD+7D/IIKMCJHlWVSN3/8XPecFqQEE+2pubbspAh7w + fb/2Hlu6bJlD+GSJ/0kFmcpCgWDIqX2fXMCitIHiA7MlizqhPSUkr3cpvy74teB8AEm41Dhh3rVt + rc3W0NjgsnvK9xeKOUrAaRI9ASMFAMEhuR/ie/AF0BwVHKDMRDAc6lLYuNDXgmMAOW6JZLLD6/O0 + X3P1VZasSbrwT5k/QJ8QHkJTCELbu3JvUQkhogLe5n6XM0jTCGYkuloO5EJfC44B5ASGo7Fr/Th0 + 7jEJHx/iXiAE9BPrB6C8Yv1iriDz76qDUgRKBEneyRpbPBK2QDjYxvtrzjBAFV4B8v9vVw0gHos7 + JhAjBEI+CE/CJ+i3oEsCQexTJoDUr/uWsIaSQA4fwCO6haJnV+HX/4VOecFpAPkAEPxSSXkMUIdU + vhignOHD5+VxyWkB5J/H4oE8voEwAlIJMiECh8os1Dc2v/EXuppV+OIFxwCEgQ2o88aaZNzaO9qd + lJPvgdBIv5jBh2zzrYUM0nPuEfmAQklJImoERAeqCIbpHejo6PzdpqYmhxhWh9FCTAwtOAYIhcOb + 5dC1NLcQ+2PnT6X1lPoVOLSs+MUE5VoPcFEI6552zqL8hJaWFmtqqLNwLFoHA4QrlcEzDCARmecr + HIleJV3e3tbm+v2K2PdSMU+ir2RZVL2W+gFE8zLhy/E/dCdXwGwAnlSr2FJueiXdRAmliKUBFuJa + cBqAIRDXCt/X2tJUpheUls0vlrD9BVR9HrXPc2IA/RQTUC2wHIwyNT4N00ToImqxdevWklImp5Cs + qZUGSKVSvLb8rvKBF8bPhcYAnlAovCFCGLd+3bpyXC+tzypQDHBtYLksvgCEhKiYfkwBoFAYQe5A + TV2y/DshYR19hIKPwQT1ShAt1JzAgmIAoN3NaICAagHSAlmInaMGUMQXyKRTJICyrjNYBHULqnvE + BdIE/KwhASRCyzWkndwCMALo4YaFnBFcULWAUDiyRoRtxXOXs5cm7av2rxSTQIpUAkXIImCQgo/U + rxfpVh2AEEHEV+dQllBQLWM5gKKRU0yUn8k3nmEAXdUqWMmamvN0mp0UdITvEwQ8TwMInT7lXL/Q + v1T+/AUqgiiBgl8tYzz2ylEsN4xKOwAKxV9gSggh4WSx1PRyBlh11jqYK+U0y9DACVdoqoLL86+e + 4oIyAXUNjdeor1+Ek2+vjp9wJGRRbjL4Rap+suv6J82fSWW55wHPwy+OoPIFAr6A0x6CiHOcU96k + 3IaSLVqyVJiCa6Lh0BONTU0PnL3lgnetWLnKdRb9q1d4nj+5oEwARaBLJMHq+9cSwXLUAOZSMgMp + vP0CzKFicNn7DzE3IKDikAsTCQPRDkESQFgG5/WrPxDeaHqp9x+LRDzZVPp2sgeLMyCNc+n0lU3N + LeeMDA0+Wy43u4+umh8LhgHw5pWwSXgJ+WrB9iG6DugpkEc4GjZ1/JSdOrqCAYaq6BOMBjATmgQi + egkXUGYQNY8qOkjwHlilSZjBqakpVy7mwMvC0cjiJH9T/+DY2JiaSn4dx/PZ6elpx3RVQ333ravp + bP+dcyVebxbyt5Hxb01NjU7Vq8JHXZ+sXqMmhTmGkJQqItA8AJkDqXw5hriHZA2J93EYlT2U1Ldp + qoh5GsUMlTDQ4/FtZIqIaYiERs0l4gnBy9ZUa6Ko6jWAiKPO3vbOzqWCfdVDmDaygFL/InYUMGge + J3ASCZ6bm4HaJavlNYKBgQNx4SKi755XMCjfQJVAvV+KgSihSX6FmEVMQWl5uZpKFGrqNQ5L4PUs + 19/0e7WtqncCVf0TBKy2rn6dqn8O+EFIJ2KIcIGAD9uuoRAiICkfXq9+AIV/KgMXZQLc62EC6Kdi + kfSB3q9kEHeNIn5l8XCx8gpl7UFISehYKJaW8lnOkFReVy33C4IBZIuRyAtl+9esWuUIKQJIovMQ + PxAOWDCgog+yjU8gpikDQAgDYQoJrsJAhwtwr4Ex+F3NIqz4yyS7Tr2FcjalfTIklzhAGBOxWS+u + tlX1DCAiSAP4A8GrGuvrraO9zRFPz8uWD/SfsCgmQqpaTaKSbkdx/kbiCIlH+0PMEsQWithpBfeS + kp0cHRM91SX0ErqWEm7mEFyjIpGPAzBhFCcz8UleV/+SF1bFwwXhA9AChhb3dKaBeSXx2KX6xQAa + +FDG//lcbkBSr/Yw6OvCP5V+gQdCYTIDUgNohwISXXAmwRkCaQ3Pi53FvA9uIE7kpTCFfI+m5iYb + HR2ljlC3dUvLxaOBQPD5sbHRd/f1du+encHnmOer6jWACIH0RyrXWRlAkU7tYCKQvPU52sE0DEIa + QRU+Zgbj7PEqfABJsLx6Bw7mIGIEJZCk9pU+FgNUTIDuPV5frvK7TInAIytXdgEgCVgGJ3NidHgN + x/rHzkWLK6c0r++rXgOIGIA76qCUs+Py/AX/UsJnZnbGzQQW8TUb0CV5hA7Gp5MvUIIhyhaBey9M + QUIojjmR/y/GmiSuF9O81AmEmin5Fo7xgJJraQKpmE0lY2mD2XRmaX5y0v1tvv+oegYQISjkEPcp + pAtYZ2eHs/F6XgQR0WUO9DetIuGftESRpE+5ECwlqKpgWRsIIVTCy3cMMDXtNIbzJ8RgvIaPmVak + oXUKf+heqzyAEkbuNVPT8ZmJ6mCAqjcBjhB+/7KC0wQAP/DeRTzdopEov2vmPyTmd5q+3WO9xxWL + MBMChDqi8TdVBJ1K4KccPL3eT11Aj7V0TELAk158Cb1Hv4s5HIO5yMLnsoOFbF4Qg6pYVc8AZSL4 + VvghlqZ+SSqdQ8fllxPoIGHIrcI8mQbZ+zQTQ0RoZff0WKlfibZ+pObm3CwBqXQxiUJBGOBFcqJB + RnSMyqowgT5XzqKYAdzBnD63GtaCMAHY6VW63CKeiFmhluy3lh/CK/mjzIDTECR7RCDtD+Q6hVxM + jxvAQaTKK0Ml1TUcgLBIuw5UoeiJihqRFnj5UgTCbdIZi5f/cR7+XvUaoGyfvesE89JFVweQiFhx + 1FTSJVnvNEBBFSBWBNMwl5p1Klx4wKKAIEwOVbbQRRFoC0mzWsjlEEJod530WaxeaZLKEkOJ6GIm + +RzsOAKgJHNYELRqWD/9JtVwtv/GOeLRLxXBhQMQFEw7gkg1a4loYgqZBZfC5X6OxE1YOQIkXAR0 + JkP30h4wks/Z/7K5OEVrdzAdl9d281HOLxCT6P1CHk1MTDCObtjmyEXw4c+8qC/+jXOeL09XPQOI + 0ChiB9uSs8aUT3dty7Y+ZTNIpHr/EfRyXM+rQwEBRPQypoMplcsxFCWoazgME2lHERFWIaWYBgK7 + XICYi7cN85nD8hH0eXqdJF9l4WlwBzIzoI6+4wsE3XnM9x9VzwBc4AAEYcoLCZxTTpiYQskcTfwC + sOEQwRoE6Qw5FFQhyGkEJYKoBQSoE4joahGXeneq/5R91x3OomMXZ1ZEYiT8lDlwWmRuLmVpXqL3 + Dg8NPEoC6eH5TvjK+VU9A0D4sKgjj162WNLoJBeClx0xhYTlQhAPRDyIL3Wgd8ES/K6CkFBEQUI+ + VQOFJawsRQ+YCscAFceOCuD9svWaPTjL/SQYAo2dGR87Odp/tPd9vK4EQ7lpo5XjzNf7qmcALmxc + Xrk0gFS9kDtiBkm4sH0iuFS3ikFqB5OJUPpXMX8ANe6GRaD+SfiLFwj7MCGQW9qEQ7ib1LyWpF7p + YxjtmyMjI6kTJ06w3dyQTZHznxoftbmpyT9JJpOTHOZDfn/gN92b5vmPqmcAiFMr8RTBRTT1Auhe + ywvhRfQAv+smJqjE68L7RcNMAoEJ5Pjl0R5iBkUQldcoW+hwA6USVoF5gziBeZ5jykgfxP/E4Og4 + GoB9B9ACCTqKli9f/pmOjo4RkMafgQlS7iTm+Y+qZwAkrZGL7UTVEbOi7sUQSLNKvFL1lZwATyD9 + svs4fYBF1AWs5hF58goZK5tKSdrZPwgt4ZjJFZukNeRL0EP4/rqm1j+VFZmeHIP46iTusEWLFmnX + MVdnyGbS4/Oc9u70yqJSDWf6b5xjLJFolU0PyytHghWPS4LlCzibrXo/JiGbweHj+YoaFyRMEj5D + PkBg0Jpkkk8oY/9kQrR0r1Qw0q9JkhN6rqa27paa2trb8Cs8g8eOkm0MWQ0VQRWEhDuUppBrQW5h + RK+f76vqNQCtYMtlqFXFk/1OEeMrJhdKSNIvoosR0jDGDLZaTpv2BxCuLwcYQCqezSUBdDBNBMKV + q4kqG2NOCAPB/+uxGxsr4pIZvAYn8I7nn3v2H4QnqAeEoiKQQCn6zEoSilDw5Hwnvs6v6hmArN56 + iZwkMcwtiEOnaEDAjxB2PnjKDKi2L699ijKt9goWQ8h3qKutowOYiSD4C4KPVaRfxBfjCGGEY7dO + F0uwb0bN3nxyePAWCP+A1L6QwZJ+R3gYJJ0pvw/Y2BkG0EU73cvr958vlSvCCQ0US8SY7ROBIErE + 4LXzN0m5mETpWRF+HCYQQ7B9vKBczldIURSagcDSEgrxHnrkURxEkkL4CjiMb5XpkAYgv/BWwsJB + CH+HbL6DhvO50jSVMFTfGee0Khig6n0APPPluuDy4hUJjIHjE9hDvoCIrpkAyuQXS9IKRQAbY9jq + Ouf9q+ij4pGIPj4+bpMwhogoop+g56+pod49hshvI+yLwgRzqP+GaCRcq9ExulWygXqfPlPOJCsL + Q07rwXxfVc0A2P8IN38Z2uVxiGB59FLHQTRALpt3Nl9g0Dk8ehG7AYhYnEGSSaRfYaGkXSie/v5+ + GxwcdMwgaaegY0sXddgQz2ECop2dnQdxLH8XjvI1NjCAEodPdl/5BzmcL97wQXiup5I7OMMAp/cK + dMIAjliSvOP9x60Bp+zo0aO2Zs0ay3vylqiJ0wRK8YcKoGYEq+1bk0RFfDkB8gtOnjxpTzz5lL1w + +LCNDAwQMkp3eHEsow70KSJj8zuR6rtFdEm+7L7UvnwFfbb8A2mQWXUWmeeICk3VsKpaA0DALbLL + ctZmKcT0AwEXURUFiDBSz/zZcqR3lSNQjB+PJ12voIiWz8/a0NCQ/cvd91gfTCPwqBxDL5tIKEeg + 6p52Egrx+FTvwYshn46nz5Dki4nEGAMwT4rEEOdz8AwDnCb2F8Ertw2bz7tJH6PBz8rWH+rpRQuc + gBhRW79+A0QPQCQg4h4/ziHMgCQr5Kt4+j09Pfad7//Aeo4csTQAUk0Sm4EJBCxRNbG+oZFewVnz + JtlIis9RaOjCSo4jBtJNxNfxNFkMU2EDQ8MyAXvlE1TDqjoNIPssyW5obAozEOJa2drJ0WHXpOnF + qZuGII8+vsPOP/98GKADXyDkEj5ByrMiniSzr6/Pntq1yx559HE7cfy4PHujt8AKgECV7g3zHmYN + ubBSzDY9PmYZQky1gclnUBpZvYE6F2mBVXQjSSOoJ3Hvvv1yRp85owFOE/vrouvik427HoKGs6hg + Sbbr+A2R7UNKT46N2ze+8U376Ec/4oil5g8RUksqW6r6gZ88YKO8Lqxcger8hIoNeP3CAMqJlMoX + w8izV4FpcrJs45VA0msqTLBly5Zy0onz0uuJQEYZOrnnjBN4GhlAFx9n7q36CDV/SiNUbHE8iXMG + E0xMTtgwNlyxupBCkkgxj7J2Bw4csIETA8wNlokQIISogce19PxLjesmBtCSY6clVa8kjxJMw8ND + jgl1HrvQJJL8rq4uF3EAGv04BSKswk9Lyu4A8/RHeVzmPD25f+20pGqVe29safkMHUEJlXbzDHhU + G5ZscpLMnnz4Sy66CE+9nKMvO4NlDSAm0DEOHTpE4wj2nfcLTSxGyALqEPPoffqMSgt4xdkTA+gz + lG/IZNK0gzVQPw44jbNv/4FMb3f350gyfWIQDaM6QzWsqmMAFXwSifiihqbW/yFiaQl+FWEOQLK2 + 3lX9YlTnbrzxBleZkyRKUismQK+Xx66JIcePHwMcqqpt2bEs4Li5cG6ajiKlldEsUuU6hu6VbPKh + TWYJ+VzPAJ+vdHN/b/cnyRtch2/x/XH8hXLmUZ80/1f1MQDxezwRv5pJ3jeFeCzIt5C8btoXUqd9 + gbtWLLerr77aEUz2XDctxfMipjSAbueee64jtJhATqJGxIl4ApaMT0zBOGVsgGy7Xi9GkgaZI9aX + 5qmpq7WZqckMfsGbh08OpQUNq7ZVdVEANCQcC14kYsoeh3HgArRqyVlTWVdFnTqSQRlUdSVZUyGK + tIAYQJ686vZS7d3d3bZ27VqXD3jqqads+/ZH8QFqaBiZs57ePlu2ZJHzG0R8mQU1m84wY2h0aMCF + n/GEZ2pi/GT6pRqm8nnVcF91DKCxXYlk/cV06WKLqfARbqPA8cB1o8GT6k8tBKw4fRUVLinW0r0c + QUmy1P1dd91FFZDkEU6jkkBsNef2FJRfoNKytIMIX4kKZBoaGuttdnKcrHAeExCtC/gj0YAvM4er + 6D6jmn5UHQOA4wsmkrUXzY6xAyh9/cGEegFo2edWypWbQVWjJ0fgEjeSzMpNhKnAxcQgt956qx07 + 1s+zZUSvIkU9ryQQI+dslChC7d9NbCWnJabRscKhgMVrUP8wTSIYBRYQvoIJE/e4F1XZj6rDAzCh + 88OZuYw3NakxsFmbnkISuegaEKVEjTz+rpVdLrkjgmm9NCYvm47y8OcndzzpnMYEef01a1bZpZde + wpTRRQ4sMoQnH6R55ASZRXn+Lkrg86QVNGZUE0d1LOkfnyf8e67k6D6tun5UhQYIe5O2PHjRDaR0 + b+cG7rYV1b+ba18ikQOUC1OQTk/jC5QxgPLejx075lA+stkVyZUzqLKvKnnKG2hFSQ2rQCSfYMWK + FXbWWWfZPff80GULp5FwYQL6OJbeK+ZyxaOREeoGMxYlkpApCgeTW0PBiRs43LfdQavox7yPAmTf + 39/w1S1Bb+S+Zv/KEOg+qw922mjuqMWaCNUg3sTMgJPKOMygSWFyCC+88EJn7xXyyYOX6pcmkA8g + NX/vvffaE088gfkIkAZOsEHEYvYJaHUhohI7IyMnyQBOyjjYGNXCZvYQ0PsnsP19R49bDUyk0NPn + DVq4BBbQgu/K5zP7/L7gAW1No1U81Ys4n/lh3jMAF89zQex9Tyd9rbGQL2ozhWELFpC8ldzHg/T5 + Ab71pi0WTrDdm/b7C7vU7c6dO+0w5V1pAkltxQkU8Xfs2GF33323yxROUTlUbN8J9EuOntS6GEjO + 3pHD3TAAap7nlNxRmXlwcIjwrx6mibHRNLjDDNqp53rrKJzj7Yxv/NVIMhwr+nP35QsZJojN/7Bw + 3psAvyd4Hfn1ZmdrLWQtQQov3gheeAM9AIM4gTSAhGssFkT6gzGnqqXyRWhQPE7l9/b2Og0g4joV + jkQrxSsgqMz4MMTde+CgU/FiAkm6VH6YjScUTtY0NbsMozKAchTlWrS3xYGVMZ7uwGUWJf0ca6i1 + lnyXrchf/AfbI5/bkc6m7pyaHZ3Pwu/ObV5rAJ/H77uo7f3bujovjBfSxGoQJuALOZvu08yGhlEL + JRkTFweNG4xT1AlbChSQ6v5K/MpxkzTrpthfdl/3et5582gLJX0ECQPsiR8BjAx/QrmCKRBE6vzR + WPgli3EM5fSJMegjbG1NWmtbiy1tXW2ZpxdZKQNmIBGx7Byv8eUtFmho7pt95ssT0+AT5vmadxpA + fXzYUZdpa4wu/9jGtutanE2FVWVvRdicP2NBprXJQfOGSf7gnFnRr40eGdA0YYMnxyyG9CoxpAZR + +eqSdDdDgAfK0zuYKP6AxrxJW0zDBC8cPGjDaAfNDxCAVJpAjp/wBSUYQkzT2FRvV191BR1CGfOM + tNp0M3sK9NEhPIwTWoNpIg0dnq3ZFPCXs4/znP4O5zCvzlHEDwaiVp/obH/j8j/8RAwHa+LoqAN6 + ektwQZHUr5emDkq8IhyAQCSasa411OhR29OkaYXlnwXlK5y/Jn8J4uV1c+DcjB/AI3n3Xt7qRsc2 + d4IbABc4xWCnUWy8GkSZ9+fQxTXsPayhwgnQxkITda1cYZdefIWdGOyzdE3QhhuyNjeC1glyXlnS + zBGY0WN12XxKHCBk6rxe804DyMgGA2HPxra3/qgxsMSXHklZsiVkmSm8+JR69Yj58/TtM5pXaJ8S + F10EqqtNWHNdG8Oi623v8y+gsmkBl9pG1kX8YIRhTtyropcC+KEsoPYQcGqdeD+KP1Bb30L9QPsL + cVyk388cgZp6JtAxV662pt46OxbbtVuvxaGUtolYKUKzaYh5hBFqEtGQzY1RWi4QcXg53+xcF5Tf + N6+pz8nNu0SQEH4rE5d+fGPy7RvI7FpNM6BO+m3j9Y1utGvRS1ePPw2fKCsnLcAewWQDa5FUOXWN + ZO1WLltKuzZSTvNekdxAoeC39JwXx5Em0BRInhLbywdqUfUN5AGacPhq0RaYHV4rXIAf/yJfxE/Q + ptMqEWN6VB/QfoLsEuLCO+1MVhTkHI5UE2qsIWyRupBlpzNEJPVWE25793wnvs5vXmkAxfxBb2zN + xZ0f+IR5yOoVmOg1BqYPBI7Hyx49kTmSPZRzMQupBImeZMymitO2eFEbRZoGq0dKZe+lwkXEPfsO + Oi0RwXGkP5i8ET2DWer5Wap7POMNYB7gIjmWUUPS85IHEEGBDMwx4RgghDQXkOo0Tug6tqLTOSrr + qM/gVCxS47fRQtZijbwuR4HK0B70H1zZ9qGPjc703pPJzTyeyWl4FYwruzXP1vxiAI83eF3Xf3sw + Hm7wZNKjVppGlc6gynHIZsjgeSI4fy3E1g39VowNg/bFQStGaOBopmq3whrrm13hJsXAp4GBfpsA + ytV3dNBqrMGKmJDCDFPDJ9kWNtVq/jyOHWPBBP/2alPJoJhByRsNluCG9vDnopZbxHFiOx3mQN3D + YhhpH7WXBQCaNq722olnwSUen8B8nNIIIIyaoivC71796ceemPzSn/aNPPtnJyeOzzPSl09n3oSB + uqhrm7d+bXPr9RcK4lsg/EqPkYbBUYt1eqzz0qJd/h+a7WSh2zZdvdiitQEbGB0ihdtiK5d32QVb + LnJVPjWEqBVcpeBDJILGTk5bw+RK8/asNM84iaLZpRbMUw0sMRImTxSBlvEQQXhzvC+LGShQXMqH + YZCI+cLY9qka87WmbHCm2zafs8F9hjqGJ2doFsYhlYOZnS3Y4P4ZS01QmvQCG0thNnAGo37MRuT8 + KzhOzdHRXffm0RTzbc0LBpAy7YhvuP5da//Xnwf92PzpQUtPF3H8tN0bA5lXp275t5QAABjsSURB + VOzGP1tvdW1R69rYadEEc3nzc/bM7r12xaUX2zlnn/MiMFPHUtYvRN//oe4XaPSYsGT/OivM0To2 + GbV8ho2hSOG6DSOReDFLefNISCP7wfv1T02FngKaAZoGBtttrHG37T+0395w8cUuqRRiPvXUzCSf + xQxCHM4Tz81aajTDIAra1HEOSSVYqJYNLDArNZGOiyayx8eHpg7vOMMAL7sCKGC7MPn+xmvWfGRn + NFjLjlzC6YHEHZnBaiNFzSW78VYkOFyexqWOnkwhZffe/xNb0rnIzlq9hli+xeX7K4cuq2mPdfd1 + W//RIUuMdFl+MmdZOvb9efr92CzKJzRRCF+Am5chEMWsUEUVn1gDqDVfGPkQL2C6a4pLbDB7yPpH + e+3czeeQq1DhyQcQdJYoAFzhHFnGAQFSqA3glGoA5ezwrPkjQbSMzzrjm950dPapbflSulc4RO05 + MB9wg5VvXLl2r/p9XWCJZ1X7JffXxFqRRS5MaYqLjuov4YU3jdlbPtFKgoX+O0yCiOLn4j393E6b + GJ+icreK5g3y8iRqnNTq7CGYlrxzNyRST0hVAyQpBz1INUUaZfb8gRLaAPeQm4vf8Qkk0WIgNziK + iKCcK+DoJ2ttUc/bbdfO/XbrX32GrqEhVziKRINIetFWbY1axyYqi0maRwhLQ3GGFzWRsj4xzjEZ + P+ep9Vy/7BN3dTSvbQwGIs7xdCf6Gv94TRlAXbwbm97yH9sa1mwq0LiZorav5E02N2OJtdP2se9f + ba2ryxtAqJonTP53fnCn7dtz2AE1WppaXOgnglUILzWuDJ7SuTIFYgTn1LFdLJ4FxC1vGOEncROi + mBRAAyDMbnS8cIVaHtK9RH4ch82hSDA5cwADhQJxayqutUNHjtjOJ/e4ZFITu5RniSySHQHb8K5a + S7aKachRzIIomgQ7iLbJ8ViNJk01XXUXLLnp3rqadud+vsa0dx//mjJAyJ9s3bTorZ8J+ABbFrn4 + DHgKlCB0pmiX3bwWctFyDTRLBJadfXj7I9j0MTs5NGldy1ZQ+o05KXzphRTBFW6JJ7QZ9NDEEITn + H8kcD3n6EqGfmMWn5FAM9R9G4jmAtL+f0E57CPhhIrYPgIEAmc4xdCrExDBsOixjbeOXo6eCdu99 + D1BO3gHDemzF6i4kP2At68PWtVUdw/gbhH1F4OolulIK+B3ZyXJlcIXvys1rG7feKhMyH9ZrxgA+ + X9Bz/Vl/8aOaaIuT0sx0imyc32ZGSbs2B23jlUud2pdqVz7+oe332779++jg7YVYPkK+BgfQVK3f + aYBTV7NiV3PyuEV0kVf/4S8Ni5B2gB9c8sZPdpBt4PgbT2B25NG7Un5QJgCDxL2YR76BmACRt/xY + AYf1fJuam7B/vuPr9uDDPyb9PG6RGGo95LHF54es4zw6lvEJQvxOdhqTQIIwzXtnss6MbYpc/1/4 + 3m983TKAiHJR52/81pK6zZuExZ8ZBpBZmqbNWzt7YBauazbF8q4Ygx3evusBe+FQjx07cRKmyFgH + 4AyZBN2cikfqdUzu3JrOMuwhMwp0BKkTYSGmS9xwrxSvfqeORJiHP8DvKhIJ5y/i696jJI80AmYC + 2lkuReIHhzBcE7CaZah0qomFOQ9zgfP2g3vu4SNwBsEciFGDca+tfwcI4sVlc6JqpT+Eg8l5pCfY + xRxGiESTtrnpRqGHOstn/Nr9fE00QMgfbbiw8+bPB6jrnxw4jngAwGig5ap/wjo3h23VNSRuIIwm + eAydHLDuQ/02APHz1ADk2HV0tNP9u85dcL1OTKAFXfkBwUppm8qN2PZtT1tnW7sjpgv3JIosad9g + DDuvX0n4uL0GeU6IYj+mwYtWkJbQKoeJeBFiFMCgkXrCU6a/jI0MUkuYYWexjH3pK7eT/k2SCGJa + GYWoaH3ALvwAGIGmILUKQKQ4hN4gB8SMTfXTOMKx1je9KX750g/ez0dols1rtl51BlDC5w1LP3hX + OJDw9o/uox4/ZYFYwY4eO2LB2pxtvDlBClbERAq5PbLtMTuwr5vcvjSCWUdLM63YbNkKtFt/rxBf + qjpHcUh1/ZmplD30w13Y4JJtXLEBrVImvFS5bLtAxF7Uu/wKEcPxj+y/HiC48gdkMrT4SGc68mgB + aahoA6YhlAWGdvKOp3fuWMGY+d6nntpvt932Bfd6YQ1iOI4dG2N2xYfbnJ8xN1Wymna/Y4QSEcLE + sWE0TcA2tb1t9dLa86QJXrP1qjNAW3zt9Rd03nzZyHCvecn0JWpjNjo3YFOzw3bp73RaQxcUYink + e/hhiP/8YTuwd7cN9A86grcwlWvDhg0vEl9EKzMCPQIZiD89a9/79v02eqhgzT1vsIG7myw3xSwg + 9YAqjETCnYrHU1fuXtSV1y7VL3MhJsPbc48dE/KLQkE+xDmOVKt5DXmKybGPplOp7icf275VO5Ie + lInqO+Y0hrSQP+S1RecH7Mr/3GEJNML4Uew/ZiccJUmEUzjVf9Jq/G32q+v/6m2bO67/i3Jxq8yo + fNqrtl5VBgj74rEPbP7SHZDAJoYZ5JAEPEEMnvA22ZvevdXWXAW4kjMS8Q+/0G1P7nrKHtv+8JcO + PX/w3bhi1PuDTOVqcqGfVLKWCJctMRa+OGb9J4/ZF//PP1qBfb2Cj1zo7G4pOEsRCdwg+X4RykvY + h+uAviAcJPmjvYTERPiVVlD2T/90VTgu1gFqlyMKXqZf8AuMKWNjJ9KZtBsESb/g4YHj3f+Uoiz9 + 4LZtLjEkhsFjgNCkpLvydsXvN5ITAIxKijgIA0RIFJVmmG08Q+aQtPOVnR/6o5bEyvdWtJk+6dVa + ryoDXLX4I//k84VC00zqakyQ0oUBJodTFls5bpvfg0o/pXZ7acl6cNsjtvvZZ79yvK/vFiT3R6rB + r1q22LVuVwCekjStTAG4V37a7vvxNlsMuKN99hwIzfjXUWb3nMhbahygJ/klUVb23ofXr00k0MZw + ghNvJ/ViDLFGxf7LV1A5WPsNl4lTNhGjYyM7KxNA1Io2OTn6O9n09OgLR3pt9+7nbGp6yk0b03GU + aQzUzdlV/7XOlr0BHCGfKzhbtC5oecArAfoPg76Y3bjuf395VeNll4gBX831qjFATbj1Decsuv6d + 2qAhPTYJkNMsPZW1CEDOy97Xxe9l6mte3/7nCfe6X9i5f++e9+vCA9S8up7izrJly10fnyMTz2tX + UNncFL16e545aOOjk9YcbbPhZyCYb8rGD5RsuofK3TB1/QzHP6XG8xmkXwUnDiQnTwAx+Qu+sKSf + mwgPXwScMyiCSBUgvXEe83B45PizSjSVFziDmXRmZGjgokxqtnTfTx5k/sDzDnSqwdJayYaIJRbl + 7eIP1tjGd4AnACcEH6LRAKgwcNpDpjLma/Rds+zDP2xJrGpXguzVWqf9k2TbQiTEb1h3690CXAwf + 7MEZAvaVVCLG7Nxfq7OWVZrTK2kriPD29J5nJ/bveeaNADaLssMrV635s43U4pcvX+5m8Ygp9Lxw + eyL++MCM3fOdbbYkudKO3JGkmIS3PZhG0hkPg1rRlj/aIVyjXb1IP4ofCkBLmRHUQB5IWX6ORlPS + uiK+qwEoX0SOX6pfm07K9gejMBISnErPbK+oa42bnZmmkfTI0UMjgwPvU9/ATx562A2qUhexlnIV + tLQTFXhs87vqbcvN7WiACN8XpuQ7FAl5hXRuiq9K3LDmLx/riK0Pq17waqzTzgDi5os63/+Z9sS6 + 5PTAOMUR0DNciLrOkC3ZUmvr3qbOHjlWVNSAZz/40CN25NAL1w2eGBoXkesbGs6mYXO9EDia9qHl + MntS5Th0c9jRO++8x5bUddnk3V2kXekS8o5AUBw7tEokrn3/sP2oapkYJYMk5fId/IRmRVS8iC+J + x/WgPkByCGJ7SQfqWE4jiHF4v2L8LB59JN+4q0IctZPr3IU23rNnz1cGjvd+7sSJQfv2d75HiKi8 + BSNs8AgC9DSIyPG6gJ3z9ibrupgwsZ6q5iQFJJgwi+bTdaiPLFpycfst3xVI5dVYp50B+ELnXL7s + d35bNf7MTBqPmFQpV7pxU8k2vQcVQFZc/6YZyrDt4UeRpBf+5Pnnnn9cF1WJnsaW1q8VceFlczW6 + xb0aDSABkS19YgdgjSxzfp48F0llMGRm3OZGPSB0wPfj5Pl5bZCEjtv6j28rydUWMZEafuE8stOk + e0H7aM6QiB3Ae1cCSEWh1ARqAPK592AeYo1UIlOFY/6CvMrKgqNOvUbJoEMHD39obOT49u7ebvvx + jx9w29eKCRRyKmGkc65t9dvFvw4oBUaTzS9gknJcG6kb+RtL6jdfu7LhDb8iATjd67QyANLvR/Xf + q685erwfQiBF1NETLUFrXR+1aA3QbS687Pgzu3fbM7ueenznYzv/XJs56ssDz76Y4c9rx0HsaqJH + hfi6aP4I5VdKsc8fesGa+i50djU7zrzfYwx2Gqf1exCwJoT2cxx3L+lX0gdzgC1waJ65cQpQgDnk + BzrNoAwg0YayhooOMvxN5lghnZI7Sg3PjKcfke/x04XYnlr6LjMzs6Xuw0euTc9MH3v2uefsEeYN + uHFynKtQzBpT7wXZ1LC8ZJ2bYvg+XvCK2siKrW5HNF1W3cdxu3LZh77k9ypfeXrXaWWAze03fjIR + am462rOHEp/UbsB51c1rfNaxrM4RX5Led+y43Xf/PbNPPPbYG2niQEFomFPSs2rd+q+qP6+trdWu + e8t1Zc+a6+HlYhWp6t39g/ssOdFl44eox6dQw0NoGT4nh1OlEC6DapdaV44eujoP3CXmIW6Wv2UF + NxOIh+N5YZY8qGONl82l8QvSsBvmQVDvAP6KP0nvwMS0FScCnx/N9L+MKj9lAn2f0dGJVG9PzwW5 + THp6+6NPONOmnUxmwToQPjJhBI3mmUGjlP0K+SDqOsphziZ6hl2zSm2oo+4dZ33ij1/2Qa/4r6eF + ASRH9ZHFZ12x9Lc/lhMgMjsHIVB1SIDPn7P2tWzsKIJwsaT673/wh/bwA49sRVLYmBkJoGOnY9Gi + a0PB4LI1q1ba1jdudc9JwnTskrdA0qXfHr73GZv9yTJQPly8tFQ18iM17uw4zh9c4EN6JWVOtsnE + iAmFD5joQ/pnym3iKASncaQRdIwC8XqeiqTeLxtfolQdiGI6EtnhbZO3b58r/vstX3JmSQoN9B45 + /IapyYncP371DnwVNpbCjM0xeSSDxpscA+6+CMbD6XTpRu707bSj6Sh4RuER1jRe/vsdNRs4u9O3 + TgsDhPwx73s3ffaHQOFA5BykgxfbSc08k52x9rP9VtPJvrx401KNO5/aaT/+0X23zs2mHtfXFAMA + 7/Zg+29vJ+X79ne83S6/7HJ3BcQwukyjY6P22U9/2RbVdBkIbEc0Vf587B/GHnKARiC34NzK+km6 + sel+zsFl2/jGWQg/O5pFGTAxTM+jJmSHsdJOY0yPMmuAvH7F9nuWjdmR4AP21Yf+6ivPdW8vSZX/ + rKXX0Ji6u7/v6DvVXfzpz32R78xn4Au4eQPUqcIt4A3qOEfOSUkp5R0cw/E4TV7D5w1FVjVcXv7y + P+sDf8m/v+IMEPXV26bGd/xxMtSy5NjJPdg2sl6zJD0sbfFGry25GAQNhRihb7u7j1JTf+xo/9ET + H3/p+eP1/wpbwHRs2XKunXfueW4XcP1dF1ANH7fd9jXUaMqaBs533rpseCGL7WZQZAD77YegMiNQ + HFUOOoe6vzxx5f/TED+N4ycTIXYSQWQWgnENkwIaRj6ggEZx/gKHCLEjUTE+at1Dz9j+7if/emKO + UbD8+1lLzCNCM5Ty7qH+Yx85CYLoLz/1N47A+u7qW0g2M/F0JX4R16OclnZnBCaCzamUu2bVhFr+ + 5Gd91v/P319RBogwyGFz9IauzW3v/O+p1Li90PMoNXAuFlO7M74RW3Q5M3XXsa0rEqqdNnc8vtO2 + Pbjt1xn2pG/uFqPfvLX1DZ/toPlSUzjVgaMLJoIq7v/U3/6d9R8fsDe/5TJbfEufJS7vJXYXyofI + wMNYN3IvTnVDYTVrStrTU2rdIhwEqatys9Ss6gFy9gQFEwNFgXIFSPTMjgMa0ROsQIx5RM0QqCHF + LMDB7+DN94uwP++SxlLjKU2mf3fi2NFPaebAJ2/9a0rHM5wkfId5alrjtxVv5LwJBaWtHIoJ9JKf + XgSt+tDiS2PhBupY5RrJz/vZP+/rXlEG6AxuRgWHv1ATafEfHtqBMzOD1JL24qI3AJlat7XZxeJK + nuwG0fvYo9sHx0bHH6mcrC5ua3vHTczubV+9Grwfs370nC6KJPVbd/2L9Rw9am9729Vujv+unU/b + 4ZFnrBBgRHtQ1R7UKMyl9+QI7eTFiwlOds/Y4MFhGA+1Dw7Qw+vCgE+UkMowZSqXytvcJBnFiSww + sVP7B6KOYw1eq13hsaGRgVLfsb7f+3lUf+W7VO7FBBo1y14EHzs52P91jaf9h9tvJ/IBMQSjdV0R + s/olfqvvolbA+dIWSS4ChuA76F8y3+Zti53Vop7J07FeUQboCl5yWciTvCpI0mN5+CLbVHsDFS+y + XtTIz7qywxqXJRxxunt67fEdO+xIz+GXqzdfe+eiv13c2c68nkshqEJ1MolEQzt2PmV79u63m264 + HkDouD337F5webts975nbCZ4DOwdHj/EVe5eXnVBhR4eF8k/eNAMAmae7KYFfGoGRiiHfjnAGfEm + AKUwTR5vfw5c/9x4BrNCrr6BiaSLBBYFXXyw91vTU9N9ZR/kFyeD3qdexGN9fe+dGB25v+/4kH32 + c18g/KOBlc/qujpiXdfhrEL8HIkmPyjl/DRNp9QUJlMnrTGycq3/NCWGXlEGGMofug5L6wjnoWeP + MIhCR9bqiHm3vHOp42h5wI88+jgqlc2Wpyb/pXI5JbWNzc03N9TXNl133ZvdRk76m4ivMPGOb33b + rr7iMuvv67OHfrKtuH/fwe/te27/4oOHD1wm9FA2A/EoB1fUP4d7cQUijImh5TvKYMd4Uy0OYUXy + gYprw2eSUSrSOG1DjSKATQ4lKVW3MXP4eC57YrT3P1WKPy8e9Od8IOIrKtD72ceg2HP40HVMF3u8 + j/L2F29DE4Bw0jCKzi1Bu/BPaHJdRdWTCqkXrRkEKxnzsiFVLHLB6aoPKAh5xVa2NPfZjuDZHwPh + 6wvEtBN31KZp8WpvjVkYG6sLceD5g27UCqNZsoxyH9YEDi1CP9+5Wy742/OZ3qkePNlIQazYpdM+ + 8/kv2pWXvcGe3fXU5B133HEjuL+na+trR5V+BR94LFtM3eP3hq9TdbFQmEWlwwh0+FARcsfWFrGe + GECNNnr+WghLfVQKCU39nqhFuMCeqYilaRwtnAKOCDYeWwThwmk7cOyZP+of7R4Wc/yyS++tvB9N + kDvafeSapV0rH+k5Vtr82S/8vX38Dz7uBlepMbX0gXE7fJffJiliRWtpak0xki7u3+LtPz1ZwVc0 + xhzOvzC1N/WDz9MIcV5ny4ZlwThDHBI5Gjs24PFIFXvsm3d9x0aGR4Whe3B0ZPgrYgo9v7xr1Xu3 + Xrv15hveeT3OGV48sdHAwKDd9d3v2zVXXm733nPPc9/85jc3kjXcz/VMqRdghhyC6DJW6r032V76 + SCjKSBHUvb9E5w8oY4WCav5oXs0WMRuOWGDtoPWkn8rsOvajPc8df2R0X9+OMAml0IZNa1H5JKlI + ChXzpHzbccKWnLTH9vz44fv3fu2DY5Ou9P/L0v//eR/MkGMjin8OhyNXpzOFzr37dtr6detdC3qc + 7GhsGZD2MCCS51PWvjVgg1PP1/b07/1UOv3KD6J8RRlA35Qc2uzx6T1fHpw4tGfDkqtualkdtLXX + EKyz9jy51x7d+SSbNBwrkeb9zWO9PX0iPpO8fJdedvnDH/7dD4ZEfFXP+kDXfP62L9nWq660b9xx + x19897vffTfM4tSFBkZrnEtZslDdXnJ4wfSXg42Za4Otc82xAIMiayNWS8q5ZX3COs6PWX96b//3 + tn39xieeevQDPT3dXxg5OfS58ZnhW32J7LlbVl29St09MQo1ssnxjrztOvzQ/dsPfP8tw6MDuV/W + 9rsv/bIf+r46bxzK7Mz01Fdq6uqWzczlN+548knKylO2squL6aNoy+CcrdnaaBnvsPX274139x78 + n3NkEl/p9YozgHQ35RUbz/Y9788G/qk+mey9/4EfTV7x5otO/P2nbzswk539LtM3futYz5Gnp3Fy + dEFWrV5z883vvfk9nTh/Cpv6j584eg/A+8suuehPf3TPD265884774UITgfLKVRE8FKbLO98anZ8 + ajI19jn+/q0V7euPx1t9oYaOZDraEDk2/MLMHXc+cPtNRwb27VequXIRIUTJn0re1Z66KJVsCs7m + Z/x9EyeyX+k/PPLx+w7f/smRiX4AP6iFV3CJ+GIoHZc8QYGRtHcx2u4JyibxYCiSWLtmdXh2bpqG + 5ZQnTpKof/gQzvIB6z3acysdya/sybyC3+vMoc5cgTNX4MwVOHMFzlyBM1eguq7A/wVz5vLW4pFc + ugAAAABJRU5ErkJggg== \ No newline at end of file diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/main.cc --- a/TaskManager/kernel/main.cc Thu Jun 04 00:03:49 2009 +0900 +++ b/TaskManager/kernel/main.cc Thu Jun 04 00:06:37 2009 +0900 @@ -13,30 +13,39 @@ TaskManager *manager; <<<<<<< local +<<<<<<< local static std::string help_str = "\n\ ======= static char help_str[] = "\n\ >>>>>>> other +======= +const char *help_str = "\n\ +>>>>>>> other * Cerium program option *\n\ - -chelp Print this message \n\ + -help Print this message \n\ -cpu Number of CPU (default 1) \n"; +extern const char *usr_help_str; + int main(int argc, char *argv[]) { - int cpuNum = 1; + int machineNum = 1; for (int i = 1; argv[i]; ++i) { if (strcmp(argv[i], "-cpu") == 0) { - cpuNum = atoi(argv[++i]); + machineNum = atoi(argv[++i]); } - if (strcmp(argv[i], "-chelp") == 0) { - printf("%s\n", help_str); + if (strcmp(argv[i], "-help") == 0) { + if (usr_help_str) { + printf("%s", usr_help_str); + } + printf("%s", help_str); return EXIT_SUCCESS; } } - manager = new TaskManager(cpuNum); + manager = new TaskManager(machineNum); manager->init(); manager->set_TMend(defaultTMend); diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/main.o Binary file TaskManager/kernel/main.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/ppe/BufferManager.o Binary file TaskManager/kernel/ppe/BufferManager.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/ppe/DmaBuffer.o Binary file TaskManager/kernel/ppe/DmaBuffer.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/ppe/HTask.o Binary file TaskManager/kernel/ppe/HTask.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/ppe/HTaskInfo.o Binary file TaskManager/kernel/ppe/HTaskInfo.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/ppe/MailManager.o Binary file TaskManager/kernel/ppe/MailManager.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/ppe/Random.o Binary file TaskManager/kernel/ppe/Random.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/ppe/SymTable.o Binary file TaskManager/kernel/ppe/SymTable.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/ppe/Task.o Binary file TaskManager/kernel/ppe/Task.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/ppe/TaskList.o Binary file TaskManager/kernel/ppe/TaskList.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/ppe/TaskListInfo.o Binary file TaskManager/kernel/ppe/TaskListInfo.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/ppe/TaskManager.o Binary file TaskManager/kernel/ppe/TaskManager.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/ppe/TaskManagerImpl.o Binary file TaskManager/kernel/ppe/TaskManagerImpl.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/ppe/TaskQueue.o Binary file TaskManager/kernel/ppe/TaskQueue.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/ppe/TaskQueueInfo.o Binary file TaskManager/kernel/ppe/TaskQueueInfo.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/schedule/SchedExit.o Binary file TaskManager/kernel/schedule/SchedExit.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/schedule/SchedMail.o Binary file TaskManager/kernel/schedule/SchedMail.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/schedule/SchedNop.o Binary file TaskManager/kernel/schedule/SchedNop.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/schedule/SchedNop2Ready.o Binary file TaskManager/kernel/schedule/SchedNop2Ready.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/schedule/SchedTask.o Binary file TaskManager/kernel/schedule/SchedTask.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/schedule/SchedTaskList.o Binary file TaskManager/kernel/schedule/SchedTaskList.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/schedule/Scheduler.o Binary file TaskManager/kernel/schedule/Scheduler.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/schedule/TaskGroup.o Binary file TaskManager/kernel/schedule/TaskGroup.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/sys_task/Finish.o Binary file TaskManager/kernel/sys_task/Finish.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/sys_task/Start.o Binary file TaskManager/kernel/sys_task/Start.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/kernel/sys_task/systask_register.o Binary file TaskManager/kernel/sys_task/systask_register.o has changed diff -r bbc2375153d7 -r f872cc000fe0 TaskManager/libFifoManager.a Binary file TaskManager/libFifoManager.a has changed diff -r bbc2375153d7 -r f872cc000fe0 example/HelloWorld/Makefile --- a/example/HelloWorld/Makefile Thu Jun 04 00:03:49 2009 +0900 +++ b/example/HelloWorld/Makefile Thu Jun 04 00:06:37 2009 +0900 @@ -8,13 +8,13 @@ @echo "Make for Linux" @$(MAKE) -f Makefile.linux -ps3: FORCE +cell: FORCE @echo "Make for PS3 (Cell)" - @$(MAKE) -f Makefile.ps3 + @$(MAKE) -f Makefile.cell FORCE: clean: @$(MAKE) -f Makefile.macosx clean @$(MAKE) -f Makefile.linux clean - @$(MAKE) -f Makefile.ps3 clean \ No newline at end of file + @$(MAKE) -f Makefile.cell clean \ No newline at end of file diff -r bbc2375153d7 -r f872cc000fe0 example/HelloWorld/Makefile.cell --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/example/HelloWorld/Makefile.cell Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,42 @@ +include ./Makefile.def + +SRCS_TMP = $(wildcard *.cc) +SRCS_EXCLUDE = # 除外するファイルを書く +SRCS = $(filter-out $(SRCS_EXCLUDE),$(SRCS_TMP)) +OBJS = $(SRCS:.cc=.o) + +TASK_DIR = ppe +TASK_SRCS_TMP = $(wildcard $(TASK_DIR)/*.cc) +TASK_SRCS_EXCLUDE = +TASK_SRCS = $(filter-out $(TASK_DIR)/$(TASK_SRCS_EXCLUDE),$(TASK_SRCS_TMP)) +TASK_OBJS = $(TASK_SRCS:.cc=.o) + +LIBS += -lCellManager -lspe2 -lpthread -Wl,--gc-sections + +.SUFFIXES: .cc .o + +.cc.o: + $(CC) $(CFLAGS) $(INCLUDE) -c $< -o $@ + +all: $(TARGET) speobject + +$(TARGET): $(OBJS) $(TASK_OBJS) + $(CC) -o $@ $(OBJS) $(TASK_OBJS) $(LIBS) + +speobject: + cd spe; $(MAKE) + +run: + ./$(TARGET) -cpu 6 + +link: + $(CC) -o $(TARGET) $(OBJS) $(TASK_OBJS) $(LIBS) + +debug: $(TARGET) + sudo ppu-gdb ./$(TARGET) + +clean: + rm -f $(TARGET) $(OBJS) $(TASK_OBJS) + rm -f *~ \#* + rm -f ppe/*~ ppe/\#* + cd spe; $(MAKE) clean diff -r bbc2375153d7 -r f872cc000fe0 example/HelloWorld/Makefile.def --- a/example/HelloWorld/Makefile.def Thu Jun 04 00:03:49 2009 +0900 +++ b/example/HelloWorld/Makefile.def Thu Jun 04 00:06:37 2009 +0900 @@ -5,8 +5,12 @@ #CERIUM = /Users/gongo/Source/Cerium # ex: linux/ps3 +<<<<<<< local #CERIUM = /home/gongo/Cerium CERIUM = /Users/e065746/Works/lab/hg/Cerium +======= +CERIUM = ../../../Cerium +>>>>>>> other CC = g++ CFLAGS = -g -Wall -O9 diff -r bbc2375153d7 -r f872cc000fe0 example/HelloWorld/Makefile.ps3 --- a/example/HelloWorld/Makefile.ps3 Thu Jun 04 00:03:49 2009 +0900 +++ /dev/null Thu Jan 01 00:00:00 1970 +0000 @@ -1,39 +0,0 @@ -include ./Makefile.def - -SRCS_TMP = $(wildcard *.cc) -SRCS_EXCLUDE = # 除外するファイルを書く -SRCS = $(filter-out $(SRCS_EXCLUDE),$(SRCS_TMP)) -OBJS = $(SRCS:.cc=.o) - -TASK_DIR = ppe -TASK_SRCS_TMP = $(wildcard $(TASK_DIR)/*.cc) -TASK_SRCS_EXCLUDE = -TASK_SRCS = $(filter-out $(TASK_DIR)/$(TASK_SRCS_EXCLUDE),$(TASK_SRCS_TMP)) -TASK_OBJS = $(TASK_SRCS:.cc=.o) - -LIBS += -lCellManager -lspe2 -lpthread -Wl,--gc-sections - -.SUFFIXES: .cc .o - -.cc.o: - $(CC) $(CFLAGS) $(INCLUDE) -c $< -o $@ - -all: $(TARGET) speobject - -$(TARGET): $(OBJS) $(TASK_OBJS) - $(CC) -o $@ $(OBJS) $(TASK_OBJS) $(LIBS) - -speobject: - cd spe; $(MAKE) - -link: - $(CC) -o $(TARGET) $(OBJS) $(TASK_OBJS) $(LIBS) - -debug: $(TARGET) - sudo ppu-gdb ./$(TARGET) - -clean: - rm -f $(TARGET) $(OBJS) $(TASK_OBJS) - rm -f *~ \#* - rm -f ppe/*~ ppe/\#* - cd spe; $(MAKE) clean diff -r bbc2375153d7 -r f872cc000fe0 example/HelloWorld/main.cc --- a/example/HelloWorld/main.cc Thu Jun 04 00:03:49 2009 +0900 +++ b/example/HelloWorld/main.cc Thu Jun 04 00:06:37 2009 +0900 @@ -10,7 +10,7 @@ extern TaskManager *manager; -char *help_str = "Usage: ./hello [-cpu spe_num] [-count N]\n\ +const char *usr_help_str = "Usage: ./hello [-cpu spe_num] [-count N]\n\ -cpu Number of SPE (default 1) \n\ -count Number of task is print \"Hello, World!!\""; @@ -21,10 +21,7 @@ if (strcmp(argv[i], "-count") == 0) { count = atoi(argv[++i]); } - if (strcmp(argv[i], "--help") == 0) { - printf("%s\n", help_str); - return -1; - } + } return 0; @@ -60,7 +57,7 @@ } int -cerium_main(int argc, char *argv[]) +TMmain(int argc, char *argv[]) { if (init(argc, argv) < 0) { return -1; diff -r bbc2375153d7 -r f872cc000fe0 example/HelloWorld/spe/Makefile --- a/example/HelloWorld/spe/Makefile Thu Jun 04 00:03:49 2009 +0900 +++ b/example/HelloWorld/spe/Makefile Thu Jun 04 00:06:37 2009 +0900 @@ -8,8 +8,8 @@ CC = spu-g++ CFLAGS = -O9 -g -Wall -fno-exceptions -fno-rtti#-DDEBUG -INCLUDE = -I${CERIUM}/include/TaskManager -I. -I.. -LIBS = -L${CERIUM}/TaskManager -lspemanager -Wl,--gc-sections +INCLUDE = -I../${CERIUM}/include/TaskManager -I. -I.. +LIBS = -L../${CERIUM}/TaskManager -lspemanager -Wl,--gc-sections .SUFFIXES: .cc .o diff -r bbc2375153d7 -r f872cc000fe0 example/basic/Makefile --- a/example/basic/Makefile Thu Jun 04 00:03:49 2009 +0900 +++ b/example/basic/Makefile Thu Jun 04 00:06:37 2009 +0900 @@ -8,13 +8,13 @@ @echo "Make for Linux" @$(MAKE) -f Makefile.linux -ps3: FORCE +cell: FORCE @echo "Make for PS3 (Cell)" - @$(MAKE) -f Makefile.ps3 + @$(MAKE) -f Makefile.cell FORCE: clean: @$(MAKE) -f Makefile.macosx clean @$(MAKE) -f Makefile.linux clean - @$(MAKE) -f Makefile.ps3 clean \ No newline at end of file + @$(MAKE) -f Makefile.cell clean \ No newline at end of file diff -r bbc2375153d7 -r f872cc000fe0 example/basic/Makefile.cell --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/example/basic/Makefile.cell Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,39 @@ +include ./Makefile.def + +SRCS_TMP = $(wildcard *.cc) +SRCS_EXCLUDE = # 除外するファイルを書く +SRCS = $(filter-out $(SRCS_EXCLUDE),$(SRCS_TMP)) +OBJS = $(SRCS:.cc=.o) + +TASK_DIR = ppe +TASK_SRCS_TMP = $(wildcard $(TASK_DIR)/*.cc) +TASK_SRCS_EXCLUDE = +TASK_SRCS = $(filter-out $(TASK_DIR)/$(TASK_SRCS_EXCLUDE),$(TASK_SRCS_TMP)) +TASK_OBJS = $(TASK_SRCS:.cc=.o) + +LIBS += -lCellManager -lspe2 -lpthread -Wl,--gc-sections + +.SUFFIXES: .cc .o + +.cc.o: + $(CC) $(CFLAGS) $(INCLUDE) -c $< -o $@ + +all: $(TARGET) speobject + +$(TARGET): $(OBJS) $(TASK_OBJS) + $(CC) -o $@ $(OBJS) $(TASK_OBJS) $(LIBS) + +speobject: + cd spe; $(MAKE) + +link: + $(CC) -o $(TARGET) $(OBJS) $(TASK_OBJS) $(LIBS) + +debug: $(TARGET) + sudo ppu-gdb ./$(TARGET) + +clean: + rm -f $(TARGET) $(OBJS) $(TASK_OBJS) + rm -f *~ \#* + rm -f ppe/*~ ppe/\#* + cd spe; $(MAKE) clean diff -r bbc2375153d7 -r f872cc000fe0 example/basic/Makefile.def --- a/example/basic/Makefile.def Thu Jun 04 00:03:49 2009 +0900 +++ b/example/basic/Makefile.def Thu Jun 04 00:06:37 2009 +0900 @@ -5,7 +5,7 @@ #CERIUM = /Users/gongo/Source/Cerium # ex: linux/ps3 -CERIUM = /home/gongo/Cerium +CERIUM = ../../../Cerium CC = g++ CFLAGS = -g -Wall -O9 diff -r bbc2375153d7 -r f872cc000fe0 example/basic/Makefile.ps3 --- a/example/basic/Makefile.ps3 Thu Jun 04 00:03:49 2009 +0900 +++ /dev/null Thu Jan 01 00:00:00 1970 +0000 @@ -1,39 +0,0 @@ -include ./Makefile.def - -SRCS_TMP = $(wildcard *.cc) -SRCS_EXCLUDE = # 除外するファイルを書く -SRCS = $(filter-out $(SRCS_EXCLUDE),$(SRCS_TMP)) -OBJS = $(SRCS:.cc=.o) - -TASK_DIR = ppe -TASK_SRCS_TMP = $(wildcard $(TASK_DIR)/*.cc) -TASK_SRCS_EXCLUDE = -TASK_SRCS = $(filter-out $(TASK_DIR)/$(TASK_SRCS_EXCLUDE),$(TASK_SRCS_TMP)) -TASK_OBJS = $(TASK_SRCS:.cc=.o) - -LIBS += -lCellManager -lspe2 -lpthread -Wl,--gc-sections - -.SUFFIXES: .cc .o - -.cc.o: - $(CC) $(CFLAGS) $(INCLUDE) -c $< -o $@ - -all: $(TARGET) speobject - -$(TARGET): $(OBJS) $(TASK_OBJS) - $(CC) -o $@ $(OBJS) $(TASK_OBJS) $(LIBS) - -speobject: - cd spe; $(MAKE) - -link: - $(CC) -o $(TARGET) $(OBJS) $(TASK_OBJS) $(LIBS) - -debug: $(TARGET) - sudo ppu-gdb ./$(TARGET) - -clean: - rm -f $(TARGET) $(OBJS) $(TASK_OBJS) - rm -f *~ \#* - rm -f ppe/*~ ppe/\#* - cd spe; $(MAKE) clean diff -r bbc2375153d7 -r f872cc000fe0 example/basic/main.cc --- a/example/basic/main.cc Thu Jun 04 00:03:49 2009 +0900 +++ b/example/basic/main.cc Thu Jun 04 00:06:37 2009 +0900 @@ -6,15 +6,16 @@ extern void task_init(void); -static int *data; static int length = DATA_NUM; +static int task = 1; -char *help_str = "Usage: ./twice [-length data_length]\n \ - -length Number of data (default DATA_NUM (Func.h))"; +const char *usr_help_str = "Usage: ./twice [-length data_length] [-count task_num]\n\ + -length Number of data (default DATA_NUM (Func.h))\n\ + -count Number of task (default 1)\n"; void -print_data(int *data, int size, char *title) +print_data(int *data, int size, const char *title) { printf("%s ---\n", title); for (int i = 0; i < size; i++) { @@ -29,6 +30,7 @@ void twice_result(void *a) { + int* data = (int*)a; print_data(data, length, "after"); free(data); } @@ -39,10 +41,8 @@ for (int i = 1; argv[i]; ++i) { if (strcmp(argv[i], "-length") == 0) { length = atoi(argv[++i]); - } - if (strcmp(argv[i], "--help") == 0) { - printf("%s\n", help_str); - return -1; + } else if (strcmp(argv[i], "-count") == 0) { + task = atoi(argv[++i]); } } @@ -54,7 +54,7 @@ { HTask *twice; - data = (int*)manager->malloc(sizeof(int)*length); + int *data = (int*)manager->allocate(sizeof(int)*length); for (int i = 0; i < length; i++) { data[i] = i; @@ -87,14 +87,14 @@ */ twice->add_param(length); - twice->set_post(twice_result, NULL); + twice->set_post(twice_result, (void*)data); // add Active Queue twice->spawn(); } int -cerium_main(int argc, char *argv[]) +TMmain(int argc, char *argv[]) { if (init(argc, argv) < 0) { return -1; @@ -104,7 +104,9 @@ // ppe/task_init.cc task_init(); - twice_init(); + for (int i = 0; i < task; ++i) { + twice_init(); + } return 0; } diff -r bbc2375153d7 -r f872cc000fe0 example/basic/spe/Makefile --- a/example/basic/spe/Makefile Thu Jun 04 00:03:49 2009 +0900 +++ b/example/basic/spe/Makefile Thu Jun 04 00:06:37 2009 +0900 @@ -8,8 +8,8 @@ CC = spu-g++ CFLAGS = -g -Wall -fno-exceptions -fno-rtti #-DDEBUG -INCLUDE = -I${CERIUM}/include/TaskManager -I. -I.. -LIBS = -L${CERIUM}/TaskManager -lspemanager +INCLUDE = -I../${CERIUM}/include/TaskManager -I. -I.. +LIBS = -L../${CERIUM}/TaskManager -lspemanager .SUFFIXES: .cc .o diff -r bbc2375153d7 -r f872cc000fe0 example/dependency_task/Makefile --- a/example/dependency_task/Makefile Thu Jun 04 00:03:49 2009 +0900 +++ b/example/dependency_task/Makefile Thu Jun 04 00:06:37 2009 +0900 @@ -8,13 +8,13 @@ @echo "Make for Linux" @$(MAKE) -f Makefile.linux -ps3: FORCE - @echo "Make for PS3 (Cell)" - @$(MAKE) -f Makefile.ps3 +cell: FORCE + @echo "Make for CELL (Cell)" + @$(MAKE) -f Makefile.cell FORCE: clean: @$(MAKE) -f Makefile.macosx clean @$(MAKE) -f Makefile.linux clean - @$(MAKE) -f Makefile.ps3 clean \ No newline at end of file + @$(MAKE) -f Makefile.cell clean \ No newline at end of file diff -r bbc2375153d7 -r f872cc000fe0 example/dependency_task/Makefile.cell --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/example/dependency_task/Makefile.cell Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,39 @@ +include ./Makefile.def + +SRCS_TMP = $(wildcard *.cc) +SRCS_EXCLUDE = # 除外するファイルを書く +SRCS = $(filter-out $(SRCS_EXCLUDE),$(SRCS_TMP)) +OBJS = $(SRCS:.cc=.o) + +TASK_DIR = ppe +TASK_SRCS_TMP = $(wildcard $(TASK_DIR)/*.cc) +TASK_SRCS_EXCLUDE = +TASK_SRCS = $(filter-out $(TASK_DIR)/$(TASK_SRCS_EXCLUDE),$(TASK_SRCS_TMP)) +TASK_OBJS = $(TASK_SRCS:.cc=.o) + +LIBS += -lCellManager -lspe2 -lpthread -Wl,--gc-sections + +.SUFFIXES: .cc .o + +.cc.o: + $(CC) $(CFLAGS) $(INCLUDE) -c $< -o $@ + +all: $(TARGET) speobject + +$(TARGET): $(OBJS) $(TASK_OBJS) + $(CC) -o $@ $(OBJS) $(TASK_OBJS) $(LIBS) + +speobject: + cd spe; $(MAKE) + +link: + $(CC) -o $(TARGET) $(OBJS) $(TASK_OBJS) $(LIBS) + +debug: $(TARGET) + sudo ppu-gdb ./$(TARGET) + +clean: + rm -f $(TARGET) $(OBJS) $(TASK_OBJS) + rm -f *~ \#* + rm -f ppe/*~ ppe/\#* + cd spe; $(MAKE) clean diff -r bbc2375153d7 -r f872cc000fe0 example/dependency_task/Makefile.def --- a/example/dependency_task/Makefile.def Thu Jun 04 00:03:49 2009 +0900 +++ b/example/dependency_task/Makefile.def Thu Jun 04 00:06:37 2009 +0900 @@ -5,7 +5,7 @@ #CERIUM = /Users/gongo/Source/Cerium # ex: linux/ps3 -CERIUM = /home/gongo/Cerium +CERIUM = ../../../Cerium CC = g++ CFLAGS = -g -Wall -O9 diff -r bbc2375153d7 -r f872cc000fe0 example/dependency_task/Makefile.ps3 --- a/example/dependency_task/Makefile.ps3 Thu Jun 04 00:03:49 2009 +0900 +++ /dev/null Thu Jan 01 00:00:00 1970 +0000 @@ -1,39 +0,0 @@ -include ./Makefile.def - -SRCS_TMP = $(wildcard *.cc) -SRCS_EXCLUDE = # 除外するファイルを書く -SRCS = $(filter-out $(SRCS_EXCLUDE),$(SRCS_TMP)) -OBJS = $(SRCS:.cc=.o) - -TASK_DIR = ppe -TASK_SRCS_TMP = $(wildcard $(TASK_DIR)/*.cc) -TASK_SRCS_EXCLUDE = -TASK_SRCS = $(filter-out $(TASK_DIR)/$(TASK_SRCS_EXCLUDE),$(TASK_SRCS_TMP)) -TASK_OBJS = $(TASK_SRCS:.cc=.o) - -LIBS += -lCellManager -lspe2 -lpthread -Wl,--gc-sections - -.SUFFIXES: .cc .o - -.cc.o: - $(CC) $(CFLAGS) $(INCLUDE) -c $< -o $@ - -all: $(TARGET) speobject - -$(TARGET): $(OBJS) $(TASK_OBJS) - $(CC) -o $@ $(OBJS) $(TASK_OBJS) $(LIBS) - -speobject: - cd spe; $(MAKE) - -link: - $(CC) -o $(TARGET) $(OBJS) $(TASK_OBJS) $(LIBS) - -debug: $(TARGET) - sudo ppu-gdb ./$(TARGET) - -clean: - rm -f $(TARGET) $(OBJS) $(TASK_OBJS) - rm -f *~ \#* - rm -f ppe/*~ ppe/\#* - cd spe; $(MAKE) clean diff -r bbc2375153d7 -r f872cc000fe0 example/dependency_task/main.cc --- a/example/dependency_task/main.cc Thu Jun 04 00:03:49 2009 +0900 +++ b/example/dependency_task/main.cc Thu Jun 04 00:06:37 2009 +0900 @@ -10,7 +10,7 @@ int length = DATA_NUM; int depend_flg = 1; -char *help_str = "Usage: ./dependency [-cpu spe_num] [-nodepend]\n\ +const char *usr_help_str = "Usage: ./dependency [-cpu spe_num] [-nodepend]\n\ -nodepend No Depenendcy"; void @@ -19,7 +19,7 @@ HTaskPtr t_exec; HTaskPtr t_print; - idata = (int*)manager->malloc(sizeof(int)*length*2); + idata = (int*)manager->allocate(sizeof(int)*length*2); for (int i = 0; i < length*2; i++) { idata[i] = 0; } @@ -55,17 +55,13 @@ if (strcmp(argv[i], "-nodepend") == 0) { depend_flg = 0; } - if (strcmp(argv[i], "--help") == 0) { - printf("%s\n", help_str); - return -1; - } } return 0; } int -cerium_main(int argc, char *argv[]) +TMmain(int argc, char *argv[]) { if (init(argc, argv) < 0) { return -1; diff -r bbc2375153d7 -r f872cc000fe0 example/dependency_task/spe/Makefile --- a/example/dependency_task/spe/Makefile Thu Jun 04 00:03:49 2009 +0900 +++ b/example/dependency_task/spe/Makefile Thu Jun 04 00:06:37 2009 +0900 @@ -8,8 +8,8 @@ CC = spu-g++ CFLAGS = -g -Wall -fno-exceptions -fno-rtti #-DDEBUG -INCLUDE = -I${CERIUM}/include/TaskManager -I. -I.. -LIBS = -L${CERIUM}/TaskManager -lspemanager +INCLUDE = -I../${CERIUM}/include/TaskManager -I. -I.. +LIBS = -L../${CERIUM}/TaskManager -lspemanager .SUFFIXES: .cc .o diff -r bbc2375153d7 -r f872cc000fe0 example/many_task/Makefile --- a/example/many_task/Makefile Thu Jun 04 00:03:49 2009 +0900 +++ b/example/many_task/Makefile Thu Jun 04 00:06:37 2009 +0900 @@ -8,13 +8,13 @@ @echo "Make for Linux" @$(MAKE) -f Makefile.linux -ps3: FORCE +cell: FORCE @echo "Make for PS3 (Cell)" - @$(MAKE) -f Makefile.ps3 + @$(MAKE) -f Makefile.cell FORCE: clean: @$(MAKE) -f Makefile.macosx clean @$(MAKE) -f Makefile.linux clean - @$(MAKE) -f Makefile.ps3 clean \ No newline at end of file + @$(MAKE) -f Makefile.cell clean \ No newline at end of file diff -r bbc2375153d7 -r f872cc000fe0 example/many_task/Makefile.cell --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/example/many_task/Makefile.cell Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,39 @@ +include ./Makefile.def + +SRCS_TMP = $(wildcard *.cc) +SRCS_EXCLUDE = # 除外するファイルを書く +SRCS = $(filter-out $(SRCS_EXCLUDE),$(SRCS_TMP)) +OBJS = $(SRCS:.cc=.o) + +TASK_DIR = ppe +TASK_SRCS_TMP = $(wildcard $(TASK_DIR)/*.cc) +TASK_SRCS_EXCLUDE = +TASK_SRCS = $(filter-out $(TASK_DIR)/$(TASK_SRCS_EXCLUDE),$(TASK_SRCS_TMP)) +TASK_OBJS = $(TASK_SRCS:.cc=.o) + +LIBS += -lCellManager -lspe2 -lpthread -Wl,--gc-sections + +.SUFFIXES: .cc .o + +.cc.o: + $(CC) $(CFLAGS) $(INCLUDE) -c $< -o $@ + +all: $(TARGET) speobject + +$(TARGET): $(OBJS) $(TASK_OBJS) + $(CC) -o $@ $(OBJS) $(TASK_OBJS) $(LIBS) + +speobject: + cd spe; $(MAKE) + +link: + $(CC) -o $(TARGET) $(OBJS) $(TASK_OBJS) $(LIBS) + +debug: $(TARGET) + sudo ppu-gdb ./$(TARGET) + +clean: + rm -f $(TARGET) $(OBJS) $(TASK_OBJS) + rm -f *~ \#* + rm -f ppe/*~ ppe/\#* + cd spe; $(MAKE) clean diff -r bbc2375153d7 -r f872cc000fe0 example/many_task/Makefile.def --- a/example/many_task/Makefile.def Thu Jun 04 00:03:49 2009 +0900 +++ b/example/many_task/Makefile.def Thu Jun 04 00:06:37 2009 +0900 @@ -5,4 +5,10 @@ #CERIUM = /Users/gongo/Source/Concurrency/Game_project/Cerium # ex: linux/ps3 -CERIUM = /home/gongo/Cerium \ No newline at end of file +CERIUM = ../../../Cerium + +CC = g++ +CFLAGS = -g -Wall -O9 + +INCLUDE = -I${CERIUM}/include/TaskManager -I. -I.. +LIBS = -L${CERIUM}/TaskManager diff -r bbc2375153d7 -r f872cc000fe0 example/many_task/Makefile.ps3 --- a/example/many_task/Makefile.ps3 Thu Jun 04 00:03:49 2009 +0900 +++ /dev/null Thu Jan 01 00:00:00 1970 +0000 @@ -1,43 +0,0 @@ -include ./Makefile.def - -SRCS_TMP = $(wildcard *.cc) -SRCS_EXCLUDE = # 除外するファイルを書く -SRCS = $(filter-out $(SRCS_EXCLUDE),$(SRCS_TMP)) -OBJS = $(SRCS:.cc=.o) - -TASK_DIR = ppe -TASK_SRCS_TMP = $(wildcard $(TASK_DIR)/*.cc) -TASK_SRCS_EXCLUDE = -TASK_SRCS = $(filter-out $(TASK_DIR)/$(TASK_SRCS_EXCLUDE),$(TASK_SRCS_TMP)) -TASK_OBJS = $(TASK_SRCS:.cc=.o) - -CC = g++ -CFLAGS = -g -Wall -O9 #-DDEBUG - -INCLUDE = -I${CERIUM}/include/TaskManager -I. -I.. -LIBS = -L${CERIUM}/TaskManager -lCellManager -lspe2 -lpthread - -.SUFFIXES: .cc .o - -.cc.o: - $(CC) $(CFLAGS) $(INCLUDE) -c $< -o $@ - -all: $(TARGET) speobject - -$(TARGET): $(OBJS) $(TASK_OBJS) - $(CC) -o $@ $(OBJS) $(TASK_OBJS) $(LIBS) - -speobject: - cd spe; $(MAKE) - -link: - $(CC) -o $(TARGET) $(OBJS) $(TASK_OBJS) $(LIBS) - -debug: $(TARGET) - sudo ppu-gdb ./$(TARGET) - -clean: - rm -f $(TARGET) $(OBJS) $(TASK_OBJS) - rm -f *~ \#* - rm -f ppe/*~ ppe/\#* - cd spe; $(MAKE) clean diff -r bbc2375153d7 -r f872cc000fe0 example/many_task/main.cc --- a/example/many_task/main.cc Thu Jun 04 00:03:49 2009 +0900 +++ b/example/many_task/main.cc Thu Jun 04 00:06:37 2009 +0900 @@ -28,7 +28,7 @@ gettimeofday(&tv, NULL); return tv.tv_sec + (double)tv.tv_usec*1e-6; } - +/* static void show_data(void) { @@ -38,8 +38,9 @@ } puts("-----------------------------------------------"); } +*/ -const char *help_str = "Usage: ./sort [option]\n \ +const char *usr_help_str = "Usage: ./sort [option]\n \ options\n\ -cpu Number of SPE used (default 1)\n\ -l, --length Sorted number of data (default 1200)\n\ @@ -52,10 +53,7 @@ if (strcmp(argv[i], "--length") == 0 || strcmp(argv[i], "-l") == 0) { length = atoi(argv[++i]); } - if (strcmp(argv[i], "--help") == 0 || strcmp(argv[i], "-h") == 0) { - printf("%s\n", help_str); - return -1; - } + } return 0; diff -r bbc2375153d7 -r f872cc000fe0 example/many_task/spe/Makefile --- a/example/many_task/spe/Makefile Thu Jun 04 00:03:49 2009 +0900 +++ b/example/many_task/spe/Makefile Thu Jun 04 00:06:37 2009 +0900 @@ -8,8 +8,8 @@ CC = spu-g++ CFLAGS = -O9 -g -Wall -fno-exceptions -fno-rtti #-DDEBUG -INCLUDE = -I${CERIUM}/include/TaskManager -I. -I.. -LIBS = -L${CERIUM}/TaskManager -lspemanager +INCLUDE = -I../${CERIUM}/include/TaskManager -I. -I.. +LIBS = -L../${CERIUM}/TaskManager -lspemanager .SUFFIXES: .cc .o diff -r bbc2375153d7 -r f872cc000fe0 example/post_function/Makefile --- a/example/post_function/Makefile Thu Jun 04 00:03:49 2009 +0900 +++ b/example/post_function/Makefile Thu Jun 04 00:06:37 2009 +0900 @@ -8,13 +8,13 @@ @echo "Make for Linux" @$(MAKE) -f Makefile.linux -ps3: FORCE - @echo "Make for PS3 (Cell)" - @$(MAKE) -f Makefile.ps3 +cell: FORCE + @echo "Make for CELL (Cell)" + @$(MAKE) -f Makefile.cell FORCE: clean: @$(MAKE) -f Makefile.macosx clean @$(MAKE) -f Makefile.linux clean - @$(MAKE) -f Makefile.ps3 clean \ No newline at end of file + @$(MAKE) -f Makefile.cell clean \ No newline at end of file diff -r bbc2375153d7 -r f872cc000fe0 example/post_function/Makefile.cell --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/example/post_function/Makefile.cell Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,39 @@ +include ./Makefile.def + +SRCS_TMP = $(wildcard *.cc) +SRCS_EXCLUDE = # 除外するファイルを書く +SRCS = $(filter-out $(SRCS_EXCLUDE),$(SRCS_TMP)) +OBJS = $(SRCS:.cc=.o) + +TASK_DIR = ppe +TASK_SRCS_TMP = $(wildcard $(TASK_DIR)/*.cc) +TASK_SRCS_EXCLUDE = +TASK_SRCS = $(filter-out $(TASK_DIR)/$(TASK_SRCS_EXCLUDE),$(TASK_SRCS_TMP)) +TASK_OBJS = $(TASK_SRCS:.cc=.o) + +LIBS += -lCellManager -lspe2 -lpthread -Wl,--gc-sections + +.SUFFIXES: .cc .o + +.cc.o: + $(CC) $(CFLAGS) $(INCLUDE) -c $< -o $@ + +all: $(TARGET) speobject + +$(TARGET): $(OBJS) $(TASK_OBJS) + $(CC) -o $@ $(OBJS) $(TASK_OBJS) $(LIBS) + +speobject: + cd spe; $(MAKE) + +link: + $(CC) -o $(TARGET) $(OBJS) $(TASK_OBJS) $(LIBS) + +debug: $(TARGET) + sudo ppu-gdb ./$(TARGET) + +clean: + rm -f $(TARGET) $(OBJS) $(TASK_OBJS) + rm -f *~ \#* + rm -f ppe/*~ ppe/\#* + cd spe; $(MAKE) clean diff -r bbc2375153d7 -r f872cc000fe0 example/post_function/Makefile.def --- a/example/post_function/Makefile.def Thu Jun 04 00:03:49 2009 +0900 +++ b/example/post_function/Makefile.def Thu Jun 04 00:06:37 2009 +0900 @@ -5,7 +5,7 @@ #CERIUM = /Users/gongo/Source/Cerium # ex: linux/ps3 -CERIUM = /home/gongo/Cerium +CERIUM = ../../../Cerium CC = g++ CFLAGS = -g -Wall -O9 diff -r bbc2375153d7 -r f872cc000fe0 example/post_function/Makefile.ps3 --- a/example/post_function/Makefile.ps3 Thu Jun 04 00:03:49 2009 +0900 +++ /dev/null Thu Jan 01 00:00:00 1970 +0000 @@ -1,39 +0,0 @@ -include ./Makefile.def - -SRCS_TMP = $(wildcard *.cc) -SRCS_EXCLUDE = # 除外するファイルを書く -SRCS = $(filter-out $(SRCS_EXCLUDE),$(SRCS_TMP)) -OBJS = $(SRCS:.cc=.o) - -TASK_DIR = ppe -TASK_SRCS_TMP = $(wildcard $(TASK_DIR)/*.cc) -TASK_SRCS_EXCLUDE = -TASK_SRCS = $(filter-out $(TASK_DIR)/$(TASK_SRCS_EXCLUDE),$(TASK_SRCS_TMP)) -TASK_OBJS = $(TASK_SRCS:.cc=.o) - -LIBS += -lCellManager -lspe2 -lpthread -Wl,--gc-sections - -.SUFFIXES: .cc .o - -.cc.o: - $(CC) $(CFLAGS) $(INCLUDE) -c $< -o $@ - -all: $(TARGET) speobject - -$(TARGET): $(OBJS) $(TASK_OBJS) - $(CC) -o $@ $(OBJS) $(TASK_OBJS) $(LIBS) - -speobject: - cd spe; $(MAKE) - -link: - $(CC) -o $(TARGET) $(OBJS) $(TASK_OBJS) $(LIBS) - -debug: $(TARGET) - sudo ppu-gdb ./$(TARGET) - -clean: - rm -f $(TARGET) $(OBJS) $(TASK_OBJS) - rm -f *~ \#* - rm -f ppe/*~ ppe/\#* - cd spe; $(MAKE) clean diff -r bbc2375153d7 -r f872cc000fe0 example/post_function/main.cc --- a/example/post_function/main.cc Thu Jun 04 00:03:49 2009 +0900 +++ b/example/post_function/main.cc Thu Jun 04 00:06:37 2009 +0900 @@ -8,7 +8,7 @@ static int count = 1; -char *help_str = "Usage: ./post [-cpu spe_num] [-count N]\n\ +const char *usr_help_str = "Usage: ./post [-cpu spe_num] [-count N]\n\ -count Number of print \"Hello, World!!\""; void @@ -35,10 +35,6 @@ if (strcmp(argv[i], "-count") == 0) { count = atoi(argv[++i]); } - if (strcmp(argv[i], "--help") == 0) { - printf("%s\n", help_str); - return -1; - } } return 0; @@ -57,7 +53,7 @@ } int -cerium_main(int argc, char *argv[]) +TMmain(int argc, char *argv[]) { if (init(argc, argv) < 0) { return -1; diff -r bbc2375153d7 -r f872cc000fe0 example/post_function/spe/Makefile --- a/example/post_function/spe/Makefile Thu Jun 04 00:03:49 2009 +0900 +++ b/example/post_function/spe/Makefile Thu Jun 04 00:06:37 2009 +0900 @@ -8,8 +8,8 @@ CC = spu-g++ CFLAGS = -g -Wall -fno-exceptions -fno-rtti #-DDEBUG -INCLUDE = -I${CERIUM}/include/TaskManager -I. -I.. -LIBS = -L${CERIUM}/TaskManager -lspemanager +INCLUDE = -I../${CERIUM}/include/TaskManager -I. -I.. +LIBS = -L../${CERIUM}/TaskManager -lspemanager .SUFFIXES: .cc .o diff -r bbc2375153d7 -r f872cc000fe0 example/renew_task/Makefile --- a/example/renew_task/Makefile Thu Jun 04 00:03:49 2009 +0900 +++ b/example/renew_task/Makefile Thu Jun 04 00:06:37 2009 +0900 @@ -8,13 +8,13 @@ @echo "Make for Linux" @$(MAKE) -f Makefile.linux -ps3: FORCE - @echo "Make for PS3 (Cell)" - @$(MAKE) -f Makefile.ps3 +cell: FORCE + @echo "Make for CELL (Cell)" + @$(MAKE) -f Makefile.cell FORCE: clean: @$(MAKE) -f Makefile.macosx clean @$(MAKE) -f Makefile.linux clean - @$(MAKE) -f Makefile.ps3 clean \ No newline at end of file + @$(MAKE) -f Makefile.cell clean \ No newline at end of file diff -r bbc2375153d7 -r f872cc000fe0 example/renew_task/Makefile.cell --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/example/renew_task/Makefile.cell Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,39 @@ +include ./Makefile.def + +SRCS_TMP = $(wildcard *.cc) +SRCS_EXCLUDE = # 除外するファイルを書く +SRCS = $(filter-out $(SRCS_EXCLUDE),$(SRCS_TMP)) +OBJS = $(SRCS:.cc=.o) + +TASK_DIR = ppe +TASK_SRCS_TMP = $(wildcard $(TASK_DIR)/*.cc) +TASK_SRCS_EXCLUDE = +TASK_SRCS = $(filter-out $(TASK_DIR)/$(TASK_SRCS_EXCLUDE),$(TASK_SRCS_TMP)) +TASK_OBJS = $(TASK_SRCS:.cc=.o) + +LIBS += -lCellManager -lspe2 -lpthread -Wl,--gc-sections + +.SUFFIXES: .cc .o + +.cc.o: + $(CC) $(CFLAGS) $(INCLUDE) -c $< -o $@ + +all: $(TARGET) speobject + +$(TARGET): $(OBJS) $(TASK_OBJS) + $(CC) -o $@ $(OBJS) $(TASK_OBJS) $(LIBS) + +speobject: + cd spe; $(MAKE) + +link: + $(CC) -o $(TARGET) $(OBJS) $(TASK_OBJS) $(LIBS) + +debug: $(TARGET) + sudo ppu-gdb ./$(TARGET) + +clean: + rm -f $(TARGET) $(OBJS) $(TASK_OBJS) + rm -f *~ \#* + rm -f ppe/*~ ppe/\#* + cd spe; $(MAKE) clean diff -r bbc2375153d7 -r f872cc000fe0 example/renew_task/Makefile.def --- a/example/renew_task/Makefile.def Thu Jun 04 00:03:49 2009 +0900 +++ b/example/renew_task/Makefile.def Thu Jun 04 00:06:37 2009 +0900 @@ -5,7 +5,7 @@ #CERIUM = /Users/gongo/Source/Cerium # ex: linux/ps3 -CERIUM = /home/gongo/Cerium +CERIUM = ../../../Cerium CC = g++ CFLAGS = -g -Wall -O9 diff -r bbc2375153d7 -r f872cc000fe0 example/renew_task/Makefile.ps3 --- a/example/renew_task/Makefile.ps3 Thu Jun 04 00:03:49 2009 +0900 +++ /dev/null Thu Jan 01 00:00:00 1970 +0000 @@ -1,39 +0,0 @@ -include ./Makefile.def - -SRCS_TMP = $(wildcard *.cc) -SRCS_EXCLUDE = # 除外するファイルを書く -SRCS = $(filter-out $(SRCS_EXCLUDE),$(SRCS_TMP)) -OBJS = $(SRCS:.cc=.o) - -TASK_DIR = ppe -TASK_SRCS_TMP = $(wildcard $(TASK_DIR)/*.cc) -TASK_SRCS_EXCLUDE = -TASK_SRCS = $(filter-out $(TASK_DIR)/$(TASK_SRCS_EXCLUDE),$(TASK_SRCS_TMP)) -TASK_OBJS = $(TASK_SRCS:.cc=.o) - -LIBS += -lCellManager -lspe2 -lpthread -Wl,--gc-sections - -.SUFFIXES: .cc .o - -.cc.o: - $(CC) $(CFLAGS) $(INCLUDE) -c $< -o $@ - -all: $(TARGET) speobject - -$(TARGET): $(OBJS) $(TASK_OBJS) - $(CC) -o $@ $(OBJS) $(TASK_OBJS) $(LIBS) - -speobject: - cd spe; $(MAKE) - -link: - $(CC) -o $(TARGET) $(OBJS) $(TASK_OBJS) $(LIBS) - -debug: $(TARGET) - sudo ppu-gdb ./$(TARGET) - -clean: - rm -f $(TARGET) $(OBJS) $(TASK_OBJS) - rm -f *~ \#* - rm -f ppe/*~ ppe/\#* - cd spe; $(MAKE) clean diff -r bbc2375153d7 -r f872cc000fe0 example/renew_task/main.cc --- a/example/renew_task/main.cc Thu Jun 04 00:03:49 2009 +0900 +++ b/example/renew_task/main.cc Thu Jun 04 00:06:37 2009 +0900 @@ -6,18 +6,11 @@ extern void task_init(void); -const char *help_str = "Usage: ./renew_task"; +const char *usr_help_str = "Usage: ./renew_task"; static int init(int argc, char **argv) { - for (int i = 1; argv[i]; ++i) { - if (strcmp(argv[i], "--help") == 0) { - printf("%s\n", help_str); - return -1; - } - } - return 0; } @@ -36,7 +29,7 @@ } int -cerium_main(int argc, char *argv[]) +TMmain(int argc, char *argv[]) { if (init(argc, argv) < 0) { return -1; diff -r bbc2375153d7 -r f872cc000fe0 example/renew_task/spe/Makefile --- a/example/renew_task/spe/Makefile Thu Jun 04 00:03:49 2009 +0900 +++ b/example/renew_task/spe/Makefile Thu Jun 04 00:06:37 2009 +0900 @@ -8,8 +8,8 @@ CC = spu-g++ CFLAGS = -g -O9 -Wall -fno-exceptions -fno-rtti #-DDEBUG -INCLUDE = -I${CERIUM}/include/TaskManager -I. -I.. -LIBS = -L${CERIUM}/TaskManager -lspemanager +INCLUDE = -I../${CERIUM}/include/TaskManager -I. -I.. +LIBS = -L../${CERIUM}/TaskManager -lspemanager .SUFFIXES: .cc .o diff -r bbc2375153d7 -r f872cc000fe0 example/share_task/Makefile --- a/example/share_task/Makefile Thu Jun 04 00:03:49 2009 +0900 +++ b/example/share_task/Makefile Thu Jun 04 00:06:37 2009 +0900 @@ -8,13 +8,13 @@ @echo "Make for Linux" @$(MAKE) -f Makefile.linux -ps3: FORCE - @echo "Make for PS3 (Cell)" - @$(MAKE) -f Makefile.ps3 +cell: FORCE + @echo "Make for CELL (Cell)" + @$(MAKE) -f Makefile.cell FORCE: clean: @$(MAKE) -f Makefile.macosx clean @$(MAKE) -f Makefile.linux clean - @$(MAKE) -f Makefile.ps3 clean \ No newline at end of file + @$(MAKE) -f Makefile.cell clean \ No newline at end of file diff -r bbc2375153d7 -r f872cc000fe0 example/share_task/Makefile.cell --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/example/share_task/Makefile.cell Thu Jun 04 00:06:37 2009 +0900 @@ -0,0 +1,39 @@ +include ./Makefile.def + +SRCS_TMP = $(wildcard *.cc) +SRCS_EXCLUDE = # 除外するファイルを書く +SRCS = $(filter-out $(SRCS_EXCLUDE),$(SRCS_TMP)) +OBJS = $(SRCS:.cc=.o) + +TASK_DIR = ppe +TASK_SRCS_TMP = $(wildcard $(TASK_DIR)/*.cc) +TASK_SRCS_EXCLUDE = +TASK_SRCS = $(filter-out $(TASK_DIR)/$(TASK_SRCS_EXCLUDE),$(TASK_SRCS_TMP)) +TASK_OBJS = $(TASK_SRCS:.cc=.o) + +LIBS += -lCellManager -lspe2 -lpthread -Wl,--gc-sections + +.SUFFIXES: .cc .o + +.cc.o: + $(CC) $(CFLAGS) $(INCLUDE) -c $< -o $@ + +all: $(TARGET) speobject + +$(TARGET): $(OBJS) $(TASK_OBJS) + $(CC) -o $@ $(OBJS) $(TASK_OBJS) $(LIBS) + +speobject: + cd spe; $(MAKE) + +link: + $(CC) -o $(TARGET) $(OBJS) $(TASK_OBJS) $(LIBS) + +debug: $(TARGET) + sudo ppu-gdb ./$(TARGET) + +clean: + rm -f $(TARGET) $(OBJS) $(TASK_OBJS) + rm -f *~ \#* + rm -f ppe/*~ ppe/\#* + cd spe; $(MAKE) clean diff -r bbc2375153d7 -r f872cc000fe0 example/share_task/Makefile.def --- a/example/share_task/Makefile.def Thu Jun 04 00:03:49 2009 +0900 +++ b/example/share_task/Makefile.def Thu Jun 04 00:06:37 2009 +0900 @@ -2,10 +2,10 @@ # include/library path # ex: macosx -CERIUM = /Users/gongo/Source/Cerium +CERIUM = ../../../Cerium # ex: linux/ps3 -#CERIUM = /home/gongo/Cerium +#CERIUM = gongo/Cerium CC = g++ CFLAGS = -g -Wall -O9 diff -r bbc2375153d7 -r f872cc000fe0 example/share_task/Makefile.ps3 --- a/example/share_task/Makefile.ps3 Thu Jun 04 00:03:49 2009 +0900 +++ /dev/null Thu Jan 01 00:00:00 1970 +0000 @@ -1,39 +0,0 @@ -include ./Makefile.def - -SRCS_TMP = $(wildcard *.cc) -SRCS_EXCLUDE = # 除外するファイルを書く -SRCS = $(filter-out $(SRCS_EXCLUDE),$(SRCS_TMP)) -OBJS = $(SRCS:.cc=.o) - -TASK_DIR = ppe -TASK_SRCS_TMP = $(wildcard $(TASK_DIR)/*.cc) -TASK_SRCS_EXCLUDE = -TASK_SRCS = $(filter-out $(TASK_DIR)/$(TASK_SRCS_EXCLUDE),$(TASK_SRCS_TMP)) -TASK_OBJS = $(TASK_SRCS:.cc=.o) - -LIBS += -lCellManager -lspe2 -lpthread -Wl,--gc-sections - -.SUFFIXES: .cc .o - -.cc.o: - $(CC) $(CFLAGS) $(INCLUDE) -c $< -o $@ - -all: $(TARGET) speobject - -$(TARGET): $(OBJS) $(TASK_OBJS) - $(CC) -o $@ $(OBJS) $(TASK_OBJS) $(LIBS) - -speobject: - cd spe; $(MAKE) - -link: - $(CC) -o $(TARGET) $(OBJS) $(TASK_OBJS) $(LIBS) - -debug: $(TARGET) - sudo ppu-gdb ./$(TARGET) - -clean: - rm -f $(TARGET) $(OBJS) $(TASK_OBJS) - rm -f *~ \#* - rm -f ppe/*~ ppe/\#* - cd spe; $(MAKE) clean diff -r bbc2375153d7 -r f872cc000fe0 example/share_task/main.cc --- a/example/share_task/main.cc Thu Jun 04 00:03:49 2009 +0900 +++ b/example/share_task/main.cc Thu Jun 04 00:06:37 2009 +0900 @@ -10,7 +10,7 @@ int numtask = 1; int length = DATA_NUM; -char *help_str = "Usage: ./share [-task numtask] [-length data_length]\n \ +const char *usr_help_str = "Usage: ./share [-task numtask] [-length data_length]\n \ -task Number of task (default 1) \n \ -length Number of data (default DATA_NUM (Func.h))"; @@ -20,7 +20,7 @@ HTaskPtr task_load; HTaskPtr task_exec; - idata = (int*)manager->malloc(sizeof(int)*length); + idata = (int*)manager->allocate(sizeof(int)*length); for (int i = 0; i < length; i++) { idata[i] = i; } @@ -58,10 +58,6 @@ if (strcmp(argv[i], "-length") == 0) { length = atoi(argv[++i]); } - if (strcmp(argv[i], "--help") == 0) { - printf("%s\n", help_str); - return -1; - } } return 0; @@ -69,7 +65,7 @@ //---------main関数----------- int -cerium_main(int argc, char *argv[]) +TMmain(int argc, char *argv[]) { if (init(argc, argv) < 0) { diff -r bbc2375153d7 -r f872cc000fe0 example/share_task/spe/Makefile --- a/example/share_task/spe/Makefile Thu Jun 04 00:03:49 2009 +0900 +++ b/example/share_task/spe/Makefile Thu Jun 04 00:06:37 2009 +0900 @@ -8,8 +8,8 @@ CC = spu-g++ CFLAGS = -g -Wall -fno-exceptions -fno-rtti #-DDEBUG -INCLUDE = -I${CERIUM}/include/TaskManager -I. -I.. -LIBS = -L${CERIUM}/TaskManager -lspemanager +INCLUDE = -I../${CERIUM}/include/TaskManager -I. -I.. +LIBS = -L../${CERIUM}/TaskManager -lspemanager .SUFFIXES: .cc .o diff -r bbc2375153d7 -r f872cc000fe0 include/TaskManager/CellDmaManager.h --- a/include/TaskManager/CellDmaManager.h Thu Jun 04 00:03:49 2009 +0900 +++ b/include/TaskManager/CellDmaManager.h Thu Jun 04 00:06:37 2009 +0900 @@ -34,6 +34,7 @@ void dma_wait(uint32 mask) ; void start_dmawait_profile(); void end_dmawait_profile(); + void show_dma_wait(int cpu); void mail_write(uint32 data); uint32 mail_read(void); diff -r bbc2375153d7 -r f872cc000fe0 include/TaskManager/DmaManager.h --- a/include/TaskManager/DmaManager.h Thu Jun 04 00:03:49 2009 +0900 +++ b/include/TaskManager/DmaManager.h Thu Jun 04 00:06:37 2009 +0900 @@ -31,6 +31,7 @@ virtual void dma_load(void *buf, uint32 addr, uint32 size, uint32 mask) {} virtual void dma_store(void *buf,uint32 addr, uint32 size, uint32 mask) {} virtual void dma_wait(uint32 mask) {} + virtual void show_dma_wait(int cpu) {} // API for SPU inbound/outbound mailbox virtual void mail_write(uint32 data) {} diff -r bbc2375153d7 -r f872cc000fe0 include/TaskManager/SchedExit.h --- a/include/TaskManager/SchedExit.h Thu Jun 04 00:03:49 2009 +0900 +++ b/include/TaskManager/SchedExit.h Thu Jun 04 00:06:37 2009 +0900 @@ -17,10 +17,13 @@ public: BASE_NEW_DELETE(SchedExit); +<<<<<<< local SchedExit(Scheduler *m) { scheduler = m; } +======= +>>>>>>> other SchedTaskBase* next(Scheduler *, SchedTaskBase *); }; diff -r bbc2375153d7 -r f872cc000fe0 include/TaskManager/Scheduler.h --- a/include/TaskManager/Scheduler.h Thu Jun 04 00:03:49 2009 +0900 +++ b/include/TaskManager/Scheduler.h Thu Jun 04 00:06:37 2009 +0900 @@ -159,6 +159,7 @@ void dma_load(void *buf, uint32 addr, uint32 size, uint32 mask); void dma_store(void *buf,uint32 addr, uint32 size, uint32 mask); void dma_wait(uint32 mask); + void show_dma_wait() { connector->show_dma_wait(id); }; void mail_write(uint32 data); uint32 mail_read(void); void dma_loadList(ListDataPtr list, void *, uint32 mask); diff -r bbc2375153d7 -r f872cc000fe0 include/TaskManager/SpeThreads.h --- a/include/TaskManager/SpeThreads.h Thu Jun 04 00:03:49 2009 +0900 +++ b/include/TaskManager/SpeThreads.h Thu Jun 04 00:06:37 2009 +0900 @@ -24,7 +24,7 @@ void send_mail(int speid, int num, unsigned int *data); // BLOCKING static void *spe_thread_run(void *arg); static void *frontend_thread_run(void *arg); - void add_output_tasklist(int command, void *buff, int alloc_size); + void add_output_tasklist(int command, unsigned int buff, int alloc_size); private: /* variables */