# HG changeset patch # User Shinji KONO # Date 1317001115 -32400 # Node ID 4b0a368cc8583383bdba3a995f9b9d85a93ce7a6 # Parent 21684fe357d9b2831990e8845440cfbe27ad6b8d add presentation diff -r 21684fe357d9 -r 4b0a368cc858 presentation/datasegment.ind --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/presentation/datasegment.ind Mon Sep 26 10:38:35 2011 +0900 @@ -0,0 +1,134 @@ +-title: Cerium における DataSegment API の設計 + +-author: 金城裕 and 河野真治 + +--affiliation: 琉球大学 + +--Cell用TaskManager Cerium + +もう、Linux が PS3 で動かないのでやっても意味がない。 + +Open CL と似てる。 + +--Cerium, Open/CLでの並列プログラミングの問題点 + + Task の取り扱うデータ型が示されない + Task 自体は簡単だが Task を構成する方法が繁雑 + Open CL\cite{opencl} に比べても構文的に複雑 + Task の種類が複雑 + Task の依存関係の記述がデータの依存関係と無関係 + Task Scheduler が大きくメモリを圧迫 + C++ と Task 記述の相性が良くない + Task Manager が複雑になりすぎ + +--Continuation based C + +関数呼び出しの代わりに goto を持つ C + +Input Interface, Output Interface + +
+       __code f(struct input a) { goto g(struct input a) ; }
+       __code f(struct input a, __code (*g)()) { goto g(struct input b) ; }
+
+ + +--Code Segment 単位での並列実行 + +First, make single process code segments. + + + + + + +--再接続の問題 + + type miss match + + fix argument type on applications + + + +汎用の型でないと再接続できない。 + + + +--Data segment + +Code Segment の双対。型を持つメモリの切れ端。 + +Code Segment の Interface を置き換えるもの。 + + input datasegments + output datasegments + + + +--Data segmentを使ったパイプライン実行 + + + +--Code segment の参照 + +Data segment を処理する Code Segment への参照 + + Pointer ではない + GPGPUやSPUで、実行コードは別空間なことが多い + +GPGPUでも、通常のCPUでも両方で動かしたい。ポインタでは困る。 + +--Task の生成 + +Cerium では、メインCPUで動くTaskでしか Task を生成できなかった。 + +SPU側にあまり複雑な Kernel を置けない。(256kb しかメモリがない) + +--Data Segement の型 + + Json で表す + + 分散計算でも使えるように + + Protocol Buffer や MessagePack + +---Data Segment のAPI + +Data Segment は以下のAPIを持っている + + create + read + update + delete + +--Data Segment 更新の Atomicity + + Queuing + Update + Proority Queue + +生成された Data segment は synchronized queue として使うことができる。 + +---Task Dependendcy + +Cerium では、 + + task->wait_for(task1); + +としていたが、繁雑。Data dependency が自然に依存関係を決めるので、それを +使うのが良い。 + +---Data Segment Storage Type + + Main Memory + Local Memory + Cache Memory + + Persitent Store + + + + + + + diff -r 21684fe357d9 -r 4b0a368cc858 presentation/fig/cbc.graffle --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/presentation/fig/cbc.graffle Mon Sep 26 10:38:35 2011 +0900 @@ -0,0 +1,1706 @@ + + + + + ActiveLayerIndex + 0 + ApplicationVersion + + com.omnigroup.OmniGrafflePro + 138.28.0.154505 + + AutoAdjust + + BackgroundGraphic + + Bounds + {{0, 0}, {559, 783}} + Class + SolidGraphic + ID + 2 + Style + + shadow + + Draws + NO + + stroke + + Draws + NO + + + + CanvasOrigin + {0, 0} + ColumnAlign + 1 + ColumnSpacing + 36 + CreationDate + 2011-09-24 09:10:15 +0900 + Creator + Shinji KONO + DisplayScale + 1.000 cm = 1.000 cm + GraphDocumentVersion + 6 + GraphicsList + + + Class + LineGraphic + Head + + ID + 12 + + ID + 18 + Points + + {138.574, 180.444} + {185.5, 180.444} + + Style + + stroke + + HeadArrow + FilledArrow + TailArrow + 0 + + + Tail + + ID + 15 + + + + Class + LineGraphic + Head + + ID + 15 + + ID + 17 + Points + + {322.935, 99.5039} + {131.456, 168.941} + + Style + + stroke + + HeadArrow + FilledArrow + TailArrow + 0 + + + Tail + + ID + 7 + + + + Bounds + {{61.391, 160.889}, {76.683, 39.1111}} + Class + ShapedGraphic + ID + 15 + Shape + Circle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Input Interface} + VerticalPad + 0 + + + + Bounds + {{377.707, 160.889}, {76.683, 39.1111}} + Class + ShapedGraphic + ID + 14 + Shape + Circle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Output Interface} + VerticalPad + 0 + + + + AllowLabelDrop + + Class + LineGraphic + Head + + ID + 14 + + ID + 13 + Points + + {330.281, 180.444} + {377.207, 180.444} + + Style + + stroke + + Cap + 0 + HeadArrow + FilledArrow + Join + 0 + LineType + 1 + TailArrow + 0 + + + Tail + + ID + 12 + + + + Bounds + {{186, 160.889}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 12 + Shape + Rectangle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Code Segment} + VerticalPad + 0 + + + + Bounds + {{316.317, 68.4444}, {76.683, 39.1111}} + Class + ShapedGraphic + ID + 7 + Shape + Circle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Output Interface} + VerticalPad + 0 + + + + Bounds + {{-0.0001297, 68.4444}, {76.683, 39.1111}} + Class + ShapedGraphic + ID + 6 + Shape + Circle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Input Interface} + VerticalPad + 0 + + + + AllowLabelDrop + + Class + LineGraphic + Head + + ID + 7 + + ID + 5 + Points + + {268.89, 88.1977} + {315.822, 88.3259} + + Style + + stroke + + Cap + 0 + HeadArrow + FilledArrow + Join + 0 + LineType + 1 + TailArrow + 0 + + + Tail + + ID + 3 + + + + AllowLabelDrop + + Class + LineGraphic + Head + + ID + 3 + + ID + 4 + Points + + {77.1777, 88.3259} + {124.11, 88.7198} + + Style + + stroke + + Cap + 0 + HeadArrow + FilledArrow + Join + 0 + LineType + 1 + TailArrow + 0 + + + Tail + + ID + 6 + + + + Bounds + {{124.61, 68.4444}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 3 + Shape + Rectangle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Code Segment} + VerticalPad + 0 + + + + GridInfo + + GuidesLocked + NO + GuidesVisible + YES + HPages + 1 + ImageCounter + 1 + KeepToScale + + Layers + + + Lock + NO + Name + Layer 1 + Print + YES + View + YES + + + LayoutInfo + + Animate + NO + circoMinDist + 18 + circoSeparation + 0.0 + layoutEngine + dot + neatoSeparation + 0.0 + twopiSeparation + 0.0 + + LinksVisible + NO + MagnetsVisible + NO + MasterSheets + + ModificationDate + 2011-09-24 09:11:35 +0900 + Modifier + Shinji KONO + NotesVisible + NO + Orientation + 2 + OriginVisible + NO + PageBreaks + YES + PrintInfo + + NSBottomMargin + + float + 41 + + NSLeftMargin + + float + 18 + + NSPaperSize + + coded + BAtzdHJlYW10eXBlZIHoA4QBQISEhAdOU1ZhbHVlAISECE5TT2JqZWN0AIWEASqEhAx7X05TU2l6ZT1mZn2WgVMCgUoDhg== + + NSRightMargin + + float + 18 + + NSTopMargin + + float + 18 + + + PrintOnePage + + QuickLookPreview + + JVBERi0xLjMKJcTl8uXrp/Og0MTGCjUgMCBvYmoKPDwgL0xlbmd0aCA2IDAgUiAvRmls + dGVyIC9GbGF0ZURlY29kZSA+PgpzdHJlYW0KeAGlWMtuWzcQ3fMruLQXpvl+bOu2QLJp + UxvooujCUKXGgR0njtPv75l7OUNaktUElSHEGXOenMcZftbv9Gdt8ZNS06UG/bTVv+uP + +vLqi9ObL9otP182+sKapOk7Hdzpy1+3T5vtp+evt/fq6Q6iXM6LuOy1c8Fk24rOqZmE + j9486Ms3D07/+LiobY2PXjhnrLUuyFm1nvWHZ4NNJrji5GgXG/jobEKBvbkYh0+XeER7 + yNkUW+RkFxhZ4LAzWROas1GOdqFpPXr52/b+9vnun+3V4/3j093D9vnpbqMRF+djD0VJ + JsaYtIvBlGqTDo2ss1Uh8DsE+y2+H9bwX10v8bH6+ooiu/zngv6he0J8htRKQmM+LvUa + wcZ1erpOq3GVkyzXMq40t+VufriByK7F64tojfUpNB31DS7uZ1yRcvpmp//QZ1fnlBBe + nz3yL3/xL1v+RZ8jX3DkWih/858etudq5f/IpOdz/ae+eat/uoG5FmGwCMNkqXhdcJ2l + 5KZrNcGnFhQi4aLxzjqi5ZCL1/e6u71kMSX44rrz3njbKlwGR8tOd+aQPGjFhBy8ul8E + uhobaI5oAQLfIzqH3LujRvJJBYOKDTXPemoiI2uETDJ80QM1oKF4XuiZuK8VVephEvhc + TW3Wk+uuFST8g6YSad4HovlWa+vhCKhIoivyPpcW+CwYFu+LdQ5nF34XUWIwq9Pea+YG + Vbh36phNchL6g7clznrgPdskeqBmoSHyk56Jmy7TLT/I34waSr4mXSx896VBfLUm+uzQ + w6xDHGxTQsmQU22jhtH5csWZ4pEaGhUdS0tIiBJMoizwGRkCD4Si0Jw8zMt64rtA+cYQ + KxhZ/CCxDbjezsmWqs2QX1w2Mcc6bBCKWMp8sHQ0h/2QUxMaHEgouObnmMBdBMDWESXc + IAUJOc8xQfUssYSFEhNn22qhxEQo4hnzwcIRABavBklsEM7Clk4xQSemS/DDBqYosXTi + O9XaAnIvujB3N7QcTBmvbjYvGh2qIsRYAhyQTodcQ6c7e/Px09dnNLKbD2tjmkI/y6cb + 2OuenoTmXJEDe0LRPt/01jh3v6VXSl982vUjt9wgN2ijo0MezOeAABck3lQUKqC7U5ZK + UWDadIpkrfBNyb0MxAbbuShUiN4kj8HHFB18MSnSGB7FFBxOlYCJzzUhFC4JJXxTaot0 + KQCxYFD2/UOiHu+94pEkCjoi+y1pKBTJVeGbUlrskMQXWweFI8F8sEz8ZumDMixgPrET + fBLnXghKLOBC0GLnxHeyEBLadaL21VJP1F4Ier8QqsEAwPQ8LIRfvj7vVQKwAA1VAJIw + KSBc8v2VoM6OVEJHGN9bCcrB1QSEtEIsmNcRFkHb4xlDHC5bU2lU7rMRMDsVXp8KDWD0 + GYvs7zB3LwQDSimGUmuD+R9QqgMoQKkeqDmEo1GcglIhoOlUh4hhChIqRaEALQcLdCW0 + V5BUAJJdDorbg1llAJAlFMASLDC1vgUsM/4I99G7UaJHDBp6NIDgavikx9XANGAJ1sPc + AIsvsEQEDncoDcziUNEpH3RMAFIBiC8DXZSY06AkdJGQgbNXLpXQ5oovBbMvenDVjCUC + 9b3M2mgBthyAmVACjjd0x4ktAHU0AD8lopky1DOb2AhtLDtjsbDUl0U/U9S+Z6cQBFVt + P4/tyUATAVaOhHLoNNgEpkjAVeDVBL1r/Bz6nC9AnFMkPBDFgjHEWqYodmliE79F9KCw + emFjGzdKZDtcWysNd8M3MSjdRuE6Wc8RqWAj0CUn9rf2TG8aPmB8WeJnU+tUy3YzgYgD + Zaf7J8EXZBQrkHXsBJ74ti6qDvCEA+arEQN/VAY2AlORCyM1hSLp29nm0sB6ayqm/SiE + CujQYnCDUrzJWOCwWI+SQl/NEYveqA2mDAOET8zcaJHOpQAItlqgBmXfu9fABIdhKgt2 + epQFU9A0e1kI26gLNkJzFQxDmaLEnakwxGkRPihcGIOv5zggiIRB6kAsGJQeBvFuc1Aa + 84KHDl6wclMCvBh1r8EJwdVcGnvT7xS8fk3X/EIxYey1Mvbkn0bapytD8VvEVK3yBhHw + ENBCCppmmsWTELqlw5Av2QPwY1NJOdEq33ED3p7o6YXfIEIwPmL1wu6PZyfa1CiBSsUL + GdHwpSXcAbeUCECfPaZ0wp64PA2szGpi3kHw688lGGWLNod3At9g3qSNaKGmRg8erM1l + D7XYgydtcEmY1+m5vlIdCY1y2ItSgQc8cUkhpjAQFqOMNS40pzF4OZP41IAOqC9ie4Eb + Dpj+w/lFByumh6LVkgEb2LQJM6w6mAnmk8vv/gVNqU81CmVuZHN0cmVhbQplbmRvYmoK + NiAwIG9iagoxNzQwCmVuZG9iagozIDAgb2JqCjw8IC9UeXBlIC9QYWdlIC9QYXJlbnQg + NCAwIFIgL1Jlc291cmNlcyA3IDAgUiAvQ29udGVudHMgNSAwIFIgL01lZGlhQm94IFsw + IDAgNTU5IDc4M10KPj4KZW5kb2JqCjcgMCBvYmoKPDwgL1Byb2NTZXQgWyAvUERGIC9U + ZXh0IC9JbWFnZUIgL0ltYWdlQyAvSW1hZ2VJIF0gL0NvbG9yU3BhY2UgPDwgL0NzMiAx + OSAwIFIKL0NzMSA4IDAgUiA+PiAvRm9udCA8PCAvRjEuMCAyMCAwIFIgPj4gL1hPYmpl + Y3QgPDwgL0ltMSA5IDAgUiAvSW0zIDEzIDAgUgovSW0yIDExIDAgUiAvSW00IDE1IDAg + UiAvSW01IDE3IDAgUiA+PiA+PgplbmRvYmoKOSAwIG9iago8PCAvTGVuZ3RoIDEwIDAg + UiAvVHlwZSAvWE9iamVjdCAvU3VidHlwZSAvSW1hZ2UgL1dpZHRoIDMzMiAvSGVpZ2h0 + IDEyNCAvSW50ZXJwb2xhdGUKdHJ1ZSAvQ29sb3JTcGFjZSAyMSAwIFIgL0ludGVudCAv + UGVyY2VwdHVhbCAvU01hc2sgMjIgMCBSIC9CaXRzUGVyQ29tcG9uZW50CjggL0ZpbHRl + ciAvRmxhdGVEZWNvZGUgPj4Kc3RyZWFtCngB7dABDQAAAMKg909tDjeIQGHAgAEDBgwY + MGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAED + BgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDA + gAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwY + MGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAED + BgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDA + gAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwY + MGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAED + BgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDA + gAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwY + MGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAED + BgwYMGDAgAEDBgwYMGDAgAEDBv4GBuJ/AAEKZW5kc3RyZWFtCmVuZG9iagoxMCAwIG9i + ago1NjIKZW5kb2JqCjEzIDAgb2JqCjw8IC9MZW5ndGggMTQgMCBSIC9UeXBlIC9YT2Jq + ZWN0IC9TdWJ0eXBlIC9JbWFnZSAvV2lkdGggMTk4IC9IZWlnaHQgMTI0IC9JbnRlcnBv + bGF0ZQp0cnVlIC9Db2xvclNwYWNlIDIxIDAgUiAvSW50ZW50IC9QZXJjZXB0dWFsIC9T + TWFzayAyNCAwIFIgL0JpdHNQZXJDb21wb25lbnQKOCAvRmlsdGVyIC9GbGF0ZURlY29k + ZSA+PgpzdHJlYW0KeAHt0DEBAAAAwqD1T20MH4hAYcCAAQMGDBgwYMCAAQMGDBgwYMCA + AQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgw + YMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMG + DBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCA + AQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgw + YMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMG + DBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQPPgQEfxwAB + CmVuZHN0cmVhbQplbmRvYmoKMTQgMCBvYmoKMzQ1CmVuZG9iagoxMSAwIG9iago8PCAv + TGVuZ3RoIDEyIDAgUiAvVHlwZSAvWE9iamVjdCAvU3VidHlwZSAvSW1hZ2UgL1dpZHRo + IDE5OCAvSGVpZ2h0IDEyNCAvSW50ZXJwb2xhdGUKdHJ1ZSAvQ29sb3JTcGFjZSAyMSAw + IFIgL0ludGVudCAvUGVyY2VwdHVhbCAvU01hc2sgMjYgMCBSIC9CaXRzUGVyQ29tcG9u + ZW50CjggL0ZpbHRlciAvRmxhdGVEZWNvZGUgPj4Kc3RyZWFtCngB7dAxAQAAAMKg9U9t + DB+IQGHAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDA + gAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwY + MGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAED + BgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDA + gAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwY + MGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAED + BgwYMGDAgAEDBgwYMGDAgAEDz4EBH8cAAQplbmRzdHJlYW0KZW5kb2JqCjEyIDAgb2Jq + CjM0NQplbmRvYmoKMTUgMCBvYmoKPDwgL0xlbmd0aCAxNiAwIFIgL1R5cGUgL1hPYmpl + Y3QgL1N1YnR5cGUgL0ltYWdlIC9XaWR0aCAxOTggL0hlaWdodCAxMjQgL0ludGVycG9s + YXRlCnRydWUgL0NvbG9yU3BhY2UgMjEgMCBSIC9JbnRlbnQgL1BlcmNlcHR1YWwgL1NN + YXNrIDI4IDAgUiAvQml0c1BlckNvbXBvbmVudAo4IC9GaWx0ZXIgL0ZsYXRlRGVjb2Rl + ID4+CnN0cmVhbQp4Ae3QMQEAAADCoPVPbQwfiEBhwIABAwYMGDBgwIABAwYMGDBgwIAB + AwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBg + wIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYM + GDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIAB + AwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBg + wIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYM + GDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABA8+BAR/HAAEK + ZW5kc3RyZWFtCmVuZG9iagoxNiAwIG9iagozNDUKZW5kb2JqCjE3IDAgb2JqCjw8IC9M + ZW5ndGggMTggMCBSIC9UeXBlIC9YT2JqZWN0IC9TdWJ0eXBlIC9JbWFnZSAvV2lkdGgg + MTk4IC9IZWlnaHQgMTI0IC9JbnRlcnBvbGF0ZQp0cnVlIC9Db2xvclNwYWNlIDIxIDAg + UiAvSW50ZW50IC9QZXJjZXB0dWFsIC9TTWFzayAzMCAwIFIgL0JpdHNQZXJDb21wb25l + bnQKOCAvRmlsdGVyIC9GbGF0ZURlY29kZSA+PgpzdHJlYW0KeAHt0DEBAAAAwqD1T20M + H4hAYcCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCA + AQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgw + YMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMG + DBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCA + AQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgw + YMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMG + DBgwYMCAAQMGDBgwYMCAAQPPgQEfxwABCmVuZHN0cmVhbQplbmRvYmoKMTggMCBvYmoK + MzQ1CmVuZG9iagoyOCAwIG9iago8PCAvTGVuZ3RoIDI5IDAgUiAvVHlwZSAvWE9iamVj + dCAvU3VidHlwZSAvSW1hZ2UgL1dpZHRoIDE5OCAvSGVpZ2h0IDEyNCAvQ29sb3JTcGFj + ZQovRGV2aWNlR3JheSAvSW50ZXJwb2xhdGUgdHJ1ZSAvQml0c1BlckNvbXBvbmVudCA4 + IC9GaWx0ZXIgL0ZsYXRlRGVjb2RlID4+CnN0cmVhbQp4Ae2c9XscSZKGPXc7ZlsWM1vM + zMzMssBiZmarxWjLAotZskVmGWdmb5/91+6LzKrultSSZRl27jnnD3aTuuOtLyKzqjIi + Ll36NX4dgV9H4NcR+H95BH47Ov7vHANm93+dPdhn/p5IZBo3/r8x/oHxu4JBr+NtgRJ/ + 8veBEe0n45nlly9fvnLlytWTA69euXyZfUZG859nEQAE+2E8WX7t2vXr12/wcVM6hBeu + X792jT4EHKIBDBPmP6YK9yAAwBhu/zWy/ebNW7duYygp3cFQFgc9UVJSondu3byJzzEa + zgInI5SfTsI1YAQMgOxn1sN0ZWUVFVVVNQx1DA0+6CG9pKaqogKwO4RzCzDXSRiSRRDl + 55EIIkAD8iAOgGN/R5kZr66hqamppa2tg6HLhh7/j17Q1tbS0tTU0ACRKmgIRkBhJEyT + nwHCELgIVxgB3IcOP468BoyH5Xr6+voGhkYYxsbGJuLAY3rJ0MBAX19PFzxEw1iAAlVI + FE7yw31LhkAiwImIQEVVTV1TU5vMJ+ONTUxN75qZm5tbWFhaWskNS0sLC3NzM7O7pqYm + xsDR19clFuiiSqIQyc8A4QxwJCBcRxgQgZqaBgHo6xsaGZuawngYbm1ja2tnb+/g4ODo + 6OgkDEdHPHewt7O1tbW2srK0MAeNiZGRgb4eoTAS8i5IIiry249YTGhNo/noshRBlRHo + AcDE9K65hZWVDYx3cHRydnF1dXN39/Dw8PT0EoenpydecHdzdXVxdgKRna2NtRVYgGJI + LkYkKspKAgiinaat7wwiyoBwhiORCqrqmlrQAAQEYG1rR+bDeA9Pb28fXz8//4DAwMCg + oGCMEPonKCgoMDAgwN/Pz9fb28vDw83VBTD2tjZWluZ3TY2NSBMZyI8QRMpAMkgR9Ayg + AQhsbAHg6gbzffz8AgKDQkJCw8MjIqOioqJjYmLFERMTHR0VFRkRHh4WGhIcGOjv5+Pt + CRZnJ8jCSKCJLge5fYt5Fhfke+nBfIlcCTLcVkIsaGjpAAFRYGVj5+Dk4goAXz+YHxoe + ERUVExuXkJCYlJySkpqWlpYuDjxOTU1JTk5KTIiPjY2OigwPAwxYvDzcXJwgCtzL1JiD + INrhWYiQ78chx3ATnoT5iCNYWNnaOzq7enj5+AUEhYRFwPz4hKTk1LR7GZlZ2fdzcnPz + 8vPzCwoKaRQUFOBJXm5uTnZ2Vsa99LSU5MSEuBiwhALF15uR2FpbMhAdLQ01lTskyPfi + IGdCTJMOYGAy6CMUgODg5Oru6eMXGBwaHhUTl5CUAvOz78P0wqLiktKy8oqKyqqqarlR + VVlRUV5WWlpcVJifD5zMjLTU5MT42KiIsBCQeHm4OjvYEYiRgR48S1VZSeSgOP+Gk9/j + DJra5EnmDMHDyzcgCASx8QDIyLqfm19QVFJaXlFVXVNX19DY2NTcgtHa2trW1oZ/W/Gk + uamxsaG+rra6urKirLS4kFgy0lOTE2KjI0Hi500gttYWd00M9XXkOTBfXTw8yJmgw5Wr + 15kOcCVDyGBt5+jiDoTgsMiY+MSU9Izs3PzC4tLyyupaWN/c0tre3tHV9aC7WyLp6enp + 5QOPJBJJ94Ours6O9jbiqa+rrqooKynKz72feY9IosI5iJODrRUE0dfRgh7wq2tXL7N5 + 92JyCEKA4Qb5EmMwgwzObp5AgAgJyWlEUFQCgLr6xuZWWP9AIunt6xsYHBwaHh4ZGRkd + HR2jgf/xbGR4aHBwoL+vt0fS3dUJmKaGupqqitKiApCkpyTGRTMQdxdHgYP0QJzTvPuP + i8lBEDwgbikpq8GXDE3IlVw8vP2CwiIJIfN+XiEIauoIoPOBpKevH8aPjI6PP3w0MfH4 + 8STGlHTQs8cTE48ejo+PjYwMDQ709YKlo62lsb6WSPJzs+6lJsZBkQAfT1cnO2umhybF + OcL8gnLwqGbOpKyqoaXLGBxdPXwCQsKj4wkhv6i0ohoEbR1dABgYgvmw/vHk1PTMzJPZ + ubm5+YWFhUVx4PH8PF6cfTIzMz05OTHxEDBDg/29kged7SCpqSwrzs/NvpeSEBsZGujn + 5eZkL3JQmF/DrEvR8XVBThA4Ybp2gwmho28MX3J09fQNDI2MTUzNyM4DQk19U0t7l6S3 + f3B4dPzRBJkP42H40vLKysrq2tr60bG2trq6srK8tLi4ME80U5MTjwhloE/yoKOtuaG2 + CiA5mWnJ8VHhQX7enMNQT1tDVZTj67xK9CZEBITQ1jcytbR1IIawqLjk9KzcwpKK6vqm + 1o4HPX2DI2PjE4+nZp7g0C/Cepi+sbm1tbW9/fTps2Pj6dNtvLO5sb6+tko0YIEuUGVk + qL+3u6u9paG2srQoLzsjJSEmPJg47KzMjA10NNUQHbR40MnVueUABFsjMDUhInQNTMyt + EQ/EEJ98DzKUVdU1AqG3f2iEEczO0eFfI/O3YfrO7u7e3t7+/sHBc/lxcHCwv483dnee + PSOcDWIhlCdEMjo82Cfpam+ur64oyc/JSGUcXq6OtpamRnra6ip3mFd9BQaHuHIV3qSi + DiHuWtk5uXsHhhLD/fySipoGOFJP/9Do+MQkfGhxaWV1fYPs34H1+zD9xYuXr2i8xngj + DDxkr718+QJoB8ABzPbW5vrayjJIZqYePxobGezr7mxtrKssLczNJI4gXw8XB2tzE6we + kIPNuedWgymBkLh9R1VTR9/E3MbB1csvJDKOM9Q2tnZK4EjjE1MzCAJIsLkFANgP82E9 + DH+LcXj47t279/IDzw8PD/HWmzcAIhiw7JIsG2vQBJIAZLi/B4LUVXGOqLBAb3cnyGGo + K3jV5fPGuBSCvMkQEeHk4RMYHpuUng0d6praunoGhkmFuYWlFWjw9NkuAZD9MB+2w/IP + Hz58/Pjp5Pj48eOHD3gfPETDWA6IBJqsLgNkamKcBGlvqa8uLczJSI6LDPHzdHGwMjPS + 11ZnwXFODDkILT0jMysHF0//kKiE1Ky84orapvau3sGRh4+nZwlhcwsa7JMCADhk5nPj + P3/+A+PPEwMvfv78megYDbFAlhfPiWR7i4HMTD4aG+rr7mipryrNz05PigkP9HZztIFX + ITiUEOPnwmBrHbmTspqWvjG8yc0bQiRn5BSV1zS2gWH0EWSAIzGEA2jwmhTA4cfBJ+uZ + 6X9h/PPkoJfZ+0TDWKALiQL/2mcgK0vzJAg44FeVxXmZqfGQw8PJ1sLUQEdDivGFieoY + hK2Tu29wZHxqVn5pVUNrVw8xPJlfWl3ferqzRwhMAwbA7WfG/8/ZA3ScBiykCiN5DUkI + ZGNteXF2mnM01pQX3k9PjA7193Sxs5Ri0IR7JgZNT7g5wJUwMUdI+IVEJ6bnFFXUNndI + BkbAsLC8trH9TECAF5EEJMAR+/91xhARiQV/J5DAuwBysLfzdGt9ZXFuemJssLertb6q + JC8zORZe5WpvZWrI1MAyTidVZ6ziBHFZhLCwdfb0D4M35ZVUNbQ96Bt+CB2W1zYhw/MX + UAFu9FFGwI1TZP2/Fb0osABFIPnwnkBekiDEMTs1MTogaW+qKSvITo2PAIaDFdRAiNPZ + yJliMH+6ev3WHTVNPWNzQASEx6Zk5ZfVNHVIBscmZuaIYXf/+cvXbw9FBNKAmXTS1n8L + 4+Q7wischWlCkgAEMQJBiGPhyeTD4b6ulrqKovtpCZFBHINmqhsswk8Xg/nTtZtKqpp6 + RhwiLjW7oLyupatv5NHU3OIqY2AywJHgR0A4XYN/iRRnwrC/Z5IABEFyKHIsz88wORqq + inPTE6KAYW9pqq+lpnzr+tWzfEr0JxUNHSMzGycoAYiiivq27oHRiZmFlfXtnf3nAgPF + goCg6FAfAzj29NhfyIGQIIdvocfu083VxdnJ8aGejsbqktx7DMPOwlhPU/XOzTN96jdc + UMCflNW0De5aO3ogJgBR2dAuGRyfnF1c23q2RwzvP3AZuCMdM+iYuac8PfZHeMpBECMQ + hHPs72yvL89PPxrp62yqLgVGZKC3s625ka6mypk+JfqTmqa+qZWDu19obAqD6Bl6ODW3 + vL69e4B4eCcysN89Yc4pZit++ehf0xdSiHCON6+e7z2DHDMTI31dzVAjPSEi0NPJ5q4h + Ipz7lOLIYFLcuK2ioWtkbufqExKdnFVY0dDeM/wI3rRJQmBa+sjCgUXDESMU23n2q0e+ + AE8YByYtxvH29Yv9na31xSePRxlGTlpcuJ87JiqEhtLNa5d/VzzbClLcIX+ycfIKjErK + yK+oZxCLq1s7+y9fH4LhsxgOR0w429oz3j3yLXIcnz6+f0dybK8vzRJGU3VRdkpsiI+r + HXxKg+apU2ZbUQpNPRNLB3f/8Ph7eWV1bZKhRzMIiV0mBK0OPKSP/foZdp7jLfkv4371 + 558UHm+B8XR9efbxSF9HY2VhVnJUoJeT9V0DbTUE+OV/KFz5QHH52s07ajqGZrYu3iGx + qTklNS3dgw9nFjgEohrzEotp+Z89h5Vf+oj81wl6wK0gx+HrF3vPoMbESG97fXn+vQT4 + lL2F8VliYNnGqq0CKawcPAIjkzILK5u6Bsan5leZEiwiFAjxJRPP9f4JDlrSP3/C4vEC + aizOPBqStNaW5KTGhHg725AYSlj6FIlBUlyFFNokhU9oXHpuWX177+jk3AqHkEbEkV88 + l43n+5Dc9wpu9cenD+84xvT4QFdTZWFmYoS/uwMT49b1K78rcClQXMFaoaFrbOngERCZ + nF1U3SIZmniyvPHs4NXb94A4KcT5zDvnp+QomFvRZEUYz/e2VuYmR/va68py02JDvJ2s + MU2p8vg+cUr4G3MoVawVNs6IivS88obO/vHpxfWn+3IQsp86p21f8zHZl8thHL56vrO5 + /GRiqLuluigTkUHTlA7im2apExQsLBDbWCvc/MITs0iK4Qnypxdv3n8UlJD9ztdYd/7P + yr6fFg+mBmaq/adrC1NjEKM0NzU60NPRykRPQ/mWwlmKZijRoQKjUxEVHUwK+NPhh0/c + neR+5PyWfeUnZb/BMBDib57vbq3MTgw9aK4syIhj8a2vqXpbYWBQWGCG0je1dvIOibuX + X9XcPTQxu7K19wJB8ceftFpLf+ArDfuqj0t/RFDj84dDJsbkaG9bbXF2EnMpQzZLnQzv + 3/7r9ys3bqtqGZjZuvqGJ2QV1bZhglpYZ1J8Pgpx/JT7q6z84oePYiDCIcbO5tLMw4HO + hrLclKhADwcLIx31O7R8Hz+VAsXVG0oUFvZu/hHJ90vJoWaWNnaev4EUf8kr8WMhiFIE + odj489OHty9pmkJ8N1Xmp8UEUWBg4aPwVkhBwW2BJS8qNbe8sWuQOdRLOBRJIX7zF4/l + 9/iA+GNE8fkjc6n5yRFJS3UhBQbNtZoU3icmKdLi5h11XWMrR8+g6LT8iubu4cdzq9t7 + iG3mUMIXfw8bv/wdIgVi468/Pr17/fzZ+uLUWG9bTVFmfKiPsw0oVG7TJHVsqqXl4ibW + PBMrJ6/g2PT8qhbJyOT8GhaLw4/kUD8VQt6n4FIUGBuLM+OYa4uzEsJ8XWzvEsX1Uylw + EkUU9wqwWoxMLqwhuN/JUXz5KH6vTwhHjQWGEN5EUZJN656tmYHWV1DQFPX3oeg/L8UJ + j8KaJ6fFj5+eBC3FwJBqIfOocF/X0z2KoltZiO6YtPxKIboRFyy6f25ciBA8ut+z6J7G + OUgtzqTCfFxsWFwoim5aL+6o6xjhjJZm2gq5mVZ+jvopashBYI76+O7VwbP1hcmRntbz + zLTCqueO8/Kc0obOgYczS5ts1ftTftX7XvF7+vfIQ2C9+PD21d42Vr3h7ubK/PTYYC8n + djqo6KRWOAPRpjMQnNJmF9e2941NLbIzEJzRHjmN+tF6yFH8E8vF+zcvdnFu/nCwq7E8 + N5XOQCyNcQaiaO2+JD0bpMuL+IwCLBhY9nA2SIv3H+wKSfrtpx/Gb39H+iPsGuMvSHFI + DoVFj020SRF+buwCA9esJ89AQMHOzLFgYPGOScvFRdLA+MzixrPnr9+duLz4dmNP/QYZ + Bc6i6HLv/dsXwmlUS1VhBpZuLHoGdLGn6JKVrpLoDgg/HUzKLq5p7RmZnFvlp+YnrpJ+ + jFfJEOi8nEEgKkiK6fH+DpzS0smgk5WpnqYyri8U3D5g13pKODW/a+PiHYoLDMxSJAZF + BrvCOOpU+L1TD+fF35BREMQ/2YX3a36RNIxzwaKspAh/N3tcsVJYnDwBuXSJwvv6bWXh + Rk5UcnZJbSsuWWdXME29xtWecD9N9js/gEL25cTA7knRTZBtdsHa0VCel467B3QrR0uV + hcXxk0GiEG+qmeM6KSweYjR09o1N4nbUHjAU3QS5+DFX8JcyArkbax/evX25D3+aeTT4 + oJmkiAygGQqX3YovWEEh3FXDDXNHz0CIUVTd/AC3BhfXtvdecIwTV9/4aQUGXeglOQrm + Tfw+ztuXB882lnFzsKcN9w5wIwexbYbr1VNvcTKXuqWM5ZvECI1Nyy2ta8UdKYTGU2C8 + Pe3m4HfhOMlAd2rfvYESm+xuVEdjRX5GQgRJYaLHtjAU326GS9EtTrojZeXoERCRwG6Z + 945MPFla36bb/rhRq/CO+YUOveyP5ADoIUUEDwm6TcshxvrZTfPk6GBEhSAF5tmTYUGB + gU1i3B1Up9vNzt7BUdi+qGzs6APGIrZgsI1Ed/4pyE/uXnyLYx2hEJ2JhKBb5s82oMT4 + wIOWmpKctNgwPzfcbBakUDDP0pUfu1PL7jcbW9iTT2E/rKqxk2GsYQODvApynMZxMc9S + xABnwv1ybF/sYlOMQ9SW0mZSgIcj24U5a2ePb8Ngm1iL+ZR/eFxaTnF1E2GIm0mQ4yyO + rwE5Yj49IRloemUMEIK2ktawQTkGJWrL8jISsVvsbGtmpMM3YU6R4tIlSnekLXvyKWyx + BobHE0ZjR+/ww2na2IMcr7DRzbfq+b1n8XpcsEnm7V98dIyCIcgYXr882Hu6ubKAzeL+ + LkDkZyRFBWMniW2yKt3AhtipG/dcjBtKKprY2bN19gqMSEjPKa5qFDZZabf7gHEwPcQA + OQbCrPsSgwIEJgNteWPrHikItHO/PEdbrF3NNaWAiA7xdeO7enfO2pzkkUFbxUg90Dex + sHPxBkZaDvaK+Yb3/PI6NosFDlEQFumKQE4N+GMEoifRLjFPP3iNqN7Z3lhZfDI5PtjT + gX3iPCmEgZB8cFYmCGVQIA0EO94IDUt7V2DEp2UXVlDywfD45JPFFYGDkkAojYWyWIQE + ipMkcoIct1x8zmNBTKGgzAOmAxhWKaNlpL+7rRGpBxmJUSHYX7XGNrEGdrvP3LRnYgjZ + LMgX5BjhcUgEKa1papcg/wBJFJzjObKiDqX5ONJUkJMkorkn/2cAfG1ge/VAYFkgpMPm + 2tLczOPxoV6WBpKD/IlgX0AgsjWRIvXFpBxZepS6joGppZ2LF/JZkjNyiyvrW5DhNTYx + zTl2eVYOQLCJL00sEgz7IosMAG4kTS2iZK/n+4iHjdWl+ZnJhyPI9GqsRsJaWnxkkI8b + QehqqlK62llZILRkYM3APHXl2s3bKhwDuUWUIHW/EJlq7d1wq8eMYxMZUkjNQT4Fpamx + FKkTOVLcVkEEEZD/z/K8ACASwJEIQciOgg5gGJB0IB8H3sTToxys7hIERfYZ8xNjYBhI + pb3KMLQR4sjzomS1lExkDdYh0asfiY9IGlzm2WrIGRRSBlm2IxLuyDRk6rCULzFgpIee + UvDkcu5kKXevGQISozbXkOFFDIM9Xa0NTAhKVfNysbdCTMggFOxLigD8f0y3lNOChGDE + BhIHHRHj4TGUwVlaVd/SIekbGqMETmQOUv4mT9+UJT9SZiPLfWQ4Cv4R8x+RzYlMOzH9 + cR/Ztdub68ganJ1+PD4yAAak2xVBiLiIYF+kDVK+HUHw3KgvQlxiSXeofaG8ZpbEae/i + ybJpM3IKy6rB0d03OEpZnPMMZJulccoSUVkeKjJOCYcGcjbZ4M+QJijkolJmLfJqkfYo + pqKuLC1Ahgmk1EqIoaI4LystITo0wNuVpXBStt25IVhoIFEeJTwsPdvQFF6FPM6w6ITU + zNwicDS3P+gdQFIw8prnF+FaQlIw5TQLSbUKUoKF9GBKDJbLC+ZpwSzBmRB4Nq2ks4UY + KA01FlnzHs72PJ1WSOA8a6WQ9ypEOJxKmtxszLLM/YLCYxLSwFFaRZnBPLt5cvoJ5Qav + ShO05fKzWXI2LBYHZWqzLG2Wo00p2rtyKdqzUOHh6BBlNiMjuJwYkmMjQ/wp09ziAqnN + LMIZhpBorkcZ/45I0g4Oj00ERwFqFijTnIE8Qrr/LEhYsjwy/YVceWmq/Atx8Lx5JMzL + 8uU319d5ujwl/o8Dobe7A1nmVWVI+09PjosKCUB6th2y/i+SZk4YLMR5CQlKeaj6wg5u + 5R8CPVIzUD9CWf8cBKUXQuEC5f2vssR/0LCqhZ0dpP8LA4+pjoEVL7DahRXkli8IpQvI + k+/vedDZ1kQZ/wW5mWlJsVGhgT5IlbcxNzXU00JW80UKSYCBdYPq25Ayr45qHlNza3sk + /vsHUyEMKjAKUIHBQLplRSRUATO/yGpIeAnJxsbGpjjwmGpKUKzA60jm5p5AgscTQhlJ + V0drU30NCntQfZGKcpgQxmBreRdVVkKi/FeWX7AYoeCg6mGxGMbI1AIcblSUFMWqYaiU + pKq2obmVFfQMsoIeoZ6Hl/Ms0liSDnrGinqopmcK9lMdzDAv6UFxUl011SaxShjUWAV4 + QwdbS1ZCgtoLXrRwkQIrHuOXpRViKIghDqqIocqkJKoPKyguQ3mYUFzVi9Iw1FaN8doq + KqyaRoWSdExPT00JBVbj46NULtbfJxHKq2qqyoGAOjEUvEWGoprHHXV7lmYmBrpaGkKV + GC9LOr4zLD8pKX5MXsXlwNKhqq6lAw5zFOu5UpVYaERMfFLqvawcFLoRSUMj6vQ6u7pR + Z8Xr3FDlNjY2Lj9Q78aL3ajWjUr3qNStkZe6oa4qI43V7AWzCjEHGzAYoiRJjZVWXbRE + TOpVQrGbjINX7Pn4AyQ6LpGX7KHosAJFh/WNTS2tbYBBzSFKDlG4R6Mfgz3oRZ0eWY+6 + w9aW5kZWq8fKDrNRdpiEUlBU6/l6oVrPDuWTxlQGqoayXF7N+i3Vk1I5UBCtJOhhYmZJ + 1ZNunj7+cC0UgCYKBaBAKQMLq/+k8k+UfrbLDxSCsiJQVgNaVVGOgtb8PLEENCoiNDgA + VbmuTqguplJWFEkTAxVVXbBWT87DeHQgygUOKig2RiWrjT2BePsFCMW4yUDJzM6hYlaq + xaVS3Orqmtra2jph1NbWoDIXFbkoyKV63LxcFBenp0nLcVEi7e5KxZ+oYqXqT/gSL48W + GL4+IuQoaOmgJZCKWVGgjuJuTW1dqioGiJ2sMBqF3agrTuR10dmgyUVRdGERRjEf9LAQ + 1dF5uTn3qTYa5cRJKPSOPloabWVhRhXFvBKXlXh/FwbikXGw6mhW4c1BLK1RH40SbxSp + +6NIPSw8EkXeqFFPSgYOCtTvZdDIzMxk/99DWXcaCtVZnXpMNBVEBwcGiGXqdjYiAlV3 + 86poIai/fAYrf9hPfSxwwK+IQ6y210fXCbOjDQPAEgwY0KBbAHoFxMXFiyMuDs9hOzUN + QM+AINjvi/4H7rKWASZoSIEmDtRXg3o4iPHwnRjk9MBqzhwLkY7+E2jeILQ+4M0bXKj5 + gRd1P6DWDWjcEBISEioOPA4JpgYOvH+DJ+wnAHuxfQMaUVAfCkK4dUPWLeA7MogcQoAI + XShU0DxAW0fWSANtQKiPBvogUCsK8Hh5Y/jwQQ+9vIRmGqyXBlqDWKNrgxlvpYEGFBpM + BRYNcCVe7fltMa3IuciviIMinYHAtXhLEGjCeoKYmVtYCj1NqKMJ+pk4ywZ1N6HOJtTY + hPqamKOBBnq0sLYmmqyHBlTg/Vk4wjeU1ysyX/baERC0ZxEazLAGOdRghveXYe1lWHcZ + a2trGz7wiJrN8CYz1GMGLXPQMEcHXoQWMyqsxQw5Eu/X8C1rnMzaMx7JgyBGOAnChHrl + oNkP9foxYL1+0OjH9OgwMTGmjj/UIYf1+0G7H3SW4QRyvWWA8MNkkAOTgjDfYp2jSBTy + L2pcpKlFrYvQdUlPj9oXiQPPdNGriJovMfP/s62XGA4HoSAhEtaBCd1/qH8X64FF7a7U + 1TWok5RsoB0W64SlSp2jWOsoBW2wfrt4rwm543z+h/g9inaBhFB4QzLWj4zakcHU44N1 + JZPrSSb08fr5jbyOYHISAQWqgIX1hkPbNGqwhh5lcgPP2evS/nCs2x31usPR+BmRcMT0 + Y09AQqIQCm/UJ9+m75psAJCNI7366A8B8P2XhWNGnvOpgEIwp7ZMpM58WApknQbJ/r8L + gDwnmcWOL/8HSHJD9gZ97O9ovjwKe8wMPfnPic/9euHXEfh1BH4dgV9H4NcR+IlH4H8B + M4M4sAplbmRzdHJlYW0KZW5kb2JqCjI5IDAgb2JqCjc4MjYKZW5kb2JqCjMwIDAgb2Jq + Cjw8IC9MZW5ndGggMzEgMCBSIC9UeXBlIC9YT2JqZWN0IC9TdWJ0eXBlIC9JbWFnZSAv + V2lkdGggMTk4IC9IZWlnaHQgMTI0IC9Db2xvclNwYWNlCi9EZXZpY2VHcmF5IC9JbnRl + cnBvbGF0ZSB0cnVlIC9CaXRzUGVyQ29tcG9uZW50IDggL0ZpbHRlciAvRmxhdGVEZWNv + ZGUgPj4Kc3RyZWFtCngB7Zz1exxJkoY9dztmWxYzW8zMzMyywGJmZqvFaMsCi1myRWYZ + Z2Zvn/3X7ovMqu6W1JJlGXbuOecPdpO6460vIrOqMiIuXfo1fh2BX0fg1xH4f3kEfjs6 + /u8cA2b3f5092Gf+nkhkGjf+vzH+gfG7gkGv422BEn/y94ER7SfjmeWXL1++cuXK1ZMD + r165fJl9Rkbzn2cRAAT7YTxZfu3a9evXb/BxUzqEF65fv3aNPgQcogEME+Y/pgr3IADA + GG7/NbL95s1bt25jKCndwVAWBz1RUlKid27dvInPMRrOAicjlJ9OwjVgBAyA7GfWw3Rl + ZRUVVVU1DHUMDT7oIb2kpqqiArA7hHMLMNdJGJJFEOXnkQgiQAPyIA6AY39HmRmvrqGp + qamlra2DocuGHv+PXtDW1tLS1NTQAJEqaAhGQGEkTJOfAcIQuAhXGAHchw4/jrwGjIfl + evr6+gaGRhjGxsYm4sBjesnQwEBfX08XPETDWIACVUgUTvLDfUuGQCLAiYhARVVNXVNT + m8wn441NTE3vmpmbm1tYWFpayQ1LSwsLc3Mzs7umpibGwNHX1yUW6KJKohDJzwDhDHAk + IFxHGBCBmpoGAejrGxoZm5rCeBhubWNra2dv7+Dg4Ojo6CQMR0c8d7C3s7W1tbaysrQw + B42JkZGBvh6hMBLyLkgiKvLbj1hMaE2j+eiyFEGVEegBwMT0rrmFlZUNjHdwdHJ2cXV1 + c3f38PDw9PQSh6enJ15wd3N1dXF2ApGdrY21FViAYkguRiQqykoCCKKdpq3vDCLKgHCG + I5EKquqaWtAABARgbWtH5sN4D09vbx9fPz//gMDAwKCgYIwQ+icoKCgwMCDA38/P19vb + y8PDzdUFMPa2NlaW5ndNjY1IExnIjxBEykAySBH0DKABCGxsAeDqBvN9/PwCAoNCQkLD + wyMio6KiomNiYsURExMdHRUVGREeHhYaEhwY6O/n4+0JFmcnyMJIoIkuB7l9i3kWF+R7 + 6cF8iVwJMtxWQixoaOkAAVFgZWPn4OTiCgBfP5gfGh4RFRUTG5eQkJiUnJKSmpaWli4O + PE5NTUlOTkpMiI+NjY6KDA8DDFi8PNxcnCAK3MvUmIMg2uFZiJDvxyHHcBOehPmII1hY + 2do7Ort6ePn4BQSFhEXA/PiEpOTUtHsZmVnZ93Nyc/Py8/MLCgppFBQU4Elebm5OdnZW + xr30tJTkxIS4GLCEAsXXm5HYWlsyEB0tDTWVOyTI9+IgZ0JMkw5gYDLoIxSA4ODk6u7p + 4xcYHBoeFROXkJQC87Pvw/TCouKS0rLyiorKqqpquVFVWVFRXlZaWlxUmJ8PnMyMtNTk + xPjYqIiwEJB4ebg6O9gRiJGBHjxLVVlJ5KA4/4aT3+MMmtrkSeYMwcPLNyAIBLHxAMjI + up+bX1BUUlpeUVVdU1fX0NjY1NyC0dra2tbWhn9b8aS5qbGxob6utrq6sqKstLiQWDLS + U5MTYqMjQeLnTSC21hZ3TQz1deQ5MF9dPDzImaDDlavXmQ5wJUPIYG3n6OIOhOCwyJj4 + xJT0jOzc/MLi0vLK6lpY39zS2t7e0dX1oLtbIunp6enlA48kEkn3g66uzo72NuKpr6uu + qigrKcrPvZ95j0iiwjmIk4OtFQTR19GCHvCra1cvs3n3YnIIQoDhBvkSYzCDDM5unkCA + CAnJaURQVAKAuvrG5lZY/0Ai6e3rGxgcHBoeHhkZGR0dHaOB//FsZHhocHCgv6+3R9Ld + 1QmYpoa6mqqK0qICkKSnJMZFMxB3F0eBg/RAnNO8+4+LyUEQPCBuKSmrwZcMTciVXDy8 + /YLCIgkh835eIQhq6gig84Gkp68fxo+Mjo8/fDQx8fjxJMaUdNCzxxMTjx6Oj4+NjAwN + DvT1gqWjraWxvpZI8nOz7qUmxkGRAB9PVyc7a6aHJsU5wvyCcvCoZs6krKqhpcsYHF09 + fAJCwqPjCSG/qLSiGgRtHV0AGBiC+bD+8eTU9MzMk9m5ubn5hYWFRXHg8fw8Xpx9MjMz + PTk5MfEQMEOD/b2SB53tIKmpLCvOz82+l5IQGxka6Ofl5mQvclCYX8OsS9HxdUFOEDhh + unaDCaGjbwxfcnT19A0MjYxNTM3IzgNCTX1TS3uXpLd/cHh0/NEEmQ/jYfjS8srKyura + 2vrRsba2urqysry0uLgwTzRTkxOPCGWgT/Kgo625obYKIDmZacnxUeFBft6cw1BPW0NV + lOPrvEr0JkQEhNDWNzK1tHUghrCouOT0rNzCkorq+qbWjgc9fYMjY+MTj6dmnuDQL8J6 + mL6xubW1tb399OmzY+Pp0228s7mxvr62SjRggS5QZWSov7e7q72lobaytCgvOyMlISY8 + mDjsrMyMDXQ01RAdtHjQydW55QAEWyMwNSEidA1MzK0RD8QQn3wPMpRV1TUCobd/aIQR + zM7R4V8j87dh+s7u7t7e3v7+wcFz+XFwcLC/jzd2d549I5wNYiGUJ0QyOjzYJ+lqb66v + rijJz8lIZRxero62lqZGetrqKneYV30FBoe4chXepKIOIe5a2Tm5eweGEsP9/JKKmgY4 + Uk//0Oj4xCR8aHFpZXV9g+zfgfX7MP3Fi5evaLzGeCMMPGSvvXz5AmgHwAHM9tbm+trK + Mkhmph4/GhsZ7OvubG2sqywtzM0kjiBfDxcHa3MTrB6Qg82551aDKYGQuH1HVVNH38Tc + xsHVyy8kMo4z1Da2dkrgSOMTUzMIAkiwuQUA2A/zYT0Mf4txePju3bv38gPPDw8P8dab + NwAiGLDskiwba9AEkgBkuL8HgtRVcY6osEBvdyfIYagreNXl88a4FIK8yRAR4eThExge + m5SeDR3qmtq6egaGSYW5haUVaPD02S4BkP0wH7bD8g8fPnz8+Onk+Pjx44cPeB88RMNY + DogEmqwuA2RqYpwEaW+pry4tzMlIjosM8fN0cbAyM9LXVmfBcU4MOQgtPSMzKwcXT/+Q + qITUrLziitqm9q7ewZGHj6dnCWFzCxrskwIAOGTmc+M/f/4D488TAy9+/vyZ6BgNsUCW + F8+JZHuLgcxMPhob6uvuaKmvKs3PTk+KCQ/0dnO0gVchOJQQ4+fCYGsduZOympa+MbzJ + zRtCJGfkFJXXNLaBYfQRZIAjMYQDaPCaFMDhx8En65npf2H88+Sgl9n7RMNYoAuJAv/a + ZyArS/MkCDjgV5XFeZmp8ZDDw8nWwtRAR0OK8YWJ6hiErZO7b3BkfGpWfmlVQ2tXDzE8 + mV9aXd96urNHCEwDBsDtZ8b/z9kDdJwGLKQKI3kNSQhkY215cXaaczTWlBfeT0+MDvX3 + dLGzlGLQhHsmBk1PuDnAlTAxR0j4hUQnpucUVdQ2d0gGRsCwsLy2sf1MQIAXkQQkwBH7 + /3XGEBGJBX8nkMC7AHKwt/N0a31lcW56Ymywt6u1vqokLzM5Fl7lam9lasjUwDJOJ1Vn + rOIEcVmEsLB19vQPgzfllVQ1tD3oG34IHZbXNiHD8xdQAW70UUbAjVNk/b8VvSiwAEUg + +fCeQF6SIMQxOzUxOiBpb6opK8hOjY8AhoMV1ECI09nImWIwf7p6/dYdNU09Y3NABITH + pmTll9U0dUgGxyZm5ohhd//5y9dvD0UE0oCZdNLWfwvj5DvCKxyFaUKSAAQxAkGIY+HJ + 5MPhvq6Wuoqi+2kJkUEcg2aqGyzCTxeD+dO1m0qqmnpGHCIuNbugvK6lq2/k0dTc4ipj + YDLAkeBHQDhdg3+JFGfCsL9nkgAEQXIocizPzzA5GqqKc9MTooBhb2mqr6WmfOv61bN8 + SvQnFQ0dIzMbJygBiKKK+rbugdGJmYWV9e2d/ecCA8WCgKDoUB8DOPb02F/IgZAgh2+h + x+7TzdXF2cnxoZ6OxuqS3HsMw87CWE9T9c7NM33qN1xQwJ+U1bQN7lo7eiAmAFHZ0C4Z + HJ+cXVzberZHDO8/cBm4Ix0z6Ji5pzw99kd4ykEQIxCEc+zvbK8vz08/GunrbKouBUZk + oLezrbmRrqbKmT4l+pOapr6plYO7X2hsCoPoGXo4Nbe8vr17gHh4JzKw3z1hzilmK375 + 6F/TF1KIcI43r57vPYMcMxMjfV3NUCM9ISLQ08nmriEinPuU4shgUty4raKha2Ru5+oT + Ep2cVVjR0N4z/AjetElCYFr6yMKBRcMRIxTbefarR74ATxgHJi3G8fb1i/2drfXFJ49H + GUZOWly4nzsmKoSG0s1rl39XPNsKUtwhf7Jx8gqMSsrIr6hnEIurWzv7L18fguGzGA5H + TDjb2jPePfItchyfPr5/R3Jsry/NEkZTdVF2SmyIj6sdfEqD5qlTZltRCk09E0sHd//w + +Ht5ZXVtkqFHMwiJXSYErQ48pI/9+hl2nuMt+S/jfvXnnxQeb4HxdH159vFIX0djZWFW + clSgl5P1XQNtNQT45X8oXPlAcfnazTtqOoZmti7eIbGpOSU1Ld2DD2cWOASiGvMSi2n5 + nz2HlV/6iPzXCXrArSDH4esXe8+gxsRIb3t9ef69BPiUvYXxWWJg2caqrQIprBw8AiOT + Mgsrm7oGxqfmV5kSLCIUCPElE8/1/gkOWtI/f8Li8QJqLM48GpK01pbkpMaEeDvbkBhK + WPoUiUFSXIUU2iSFT2hcem5ZfXvv6OTcCoeQRsSRXzyXjef7kNz3Cm71x6cP7zjG9PhA + V1NlYWZihL+7AxPj1vUrvytwKVBcwVqhoWts6eAREJmcXVTdIhmaeLK88ezg1dv3gDgp + xPnMO+en5CiYW9FkRRjP97ZW5iZH+9rrynLTYkO8nawxTany+D5xSvgbcyhVrBU2zoiK + 9Lzyhs7+8enF9af7chCynzqnbV/zMdmXy2Ecvnq+s7n8ZGKou6W6KBORQdOUDuKbZqkT + FCwsENtYK9z8whOzSIrhCfKnF2/efxSUkP3O11h3/s/Kvp8WD6YGZqr9p2sLU2MQozQ3 + NTrQ09HKRE9D+ZbCWYpmKNGhAqNTERUdTAr40+GHT9yd5H7k/JZ95Sdlv8EwEOJvnu9u + rcxODD1orizIiGPxra+pelthYFBYYIbSN7V28g6Ju5df1dw9NDG7srX3AkHxx5+0Wkt/ + 4CsN+6qPS39EUOPzh0MmxuRob1ttcXYScylDNkudDO/f/uv3Kzduq2oZmNm6+oYnZBXV + tmGCWlhnUnw+CnH8lPurrPzih49iIMIhxs7m0szDgc6GstyUqEAPBwsjHfU7tHwfP5UC + xdUbShQW9m7+Ecn3S8mhZpY2dp6/gRR/ySvxYyGIUgSh2Pjz04e3L2maQnw3VeanxQRR + YGDho/BWSEHBbYElLyo1t7yxa5A51Es4FEkhfvMXj+X3+ID4Y0Tx+SNzqfnJEUlLdSEF + Bs21mhTeJyYp0uLmHXVdYytHz6DotPyK5u7hx3Or23uIbeZQwhd/Dxu//B0iBWLjrz8+ + vXv9/Nn64tRYb1tNUWZ8qI+zDShUbtMkdWyqpeXiJtY8Eysnr+DY9PyqFsnI5PwaFovD + j+RQPxVC3qfgUhQYG4sz45hri7MSwnxdbO8SxfVTKXASRRT3CrBajEwurCG438lRfPko + fq9PCEeNBYYQ3kRRkk3rnq2ZgdZXUNAU9feh6D8vxQmPwponp8WPn54ELcXAkGoh86hw + X9fTPYqiW1mI7pi0/EohuhEXLLp/blyIEDy637PonsY5SC3OpMJ8XGxYXCiKblov7qjr + GOGMlmbaCrmZVn6O+ilqyEFgjvr47tXBs/WFyZGe1vPMtMKq547z8pzShs6BhzNLm2zV + +1N+1fte8Xv698hDYL348PbV3jZWveHu5sr89NhgLyd2OqjopFY4A9GmMxCc0mYX17b3 + jU0tsjMQnNEeOY360XrIUfwTy8X7Ny92cW7+cLCrsTw3lc5ALI1xBqJo7b4kPRuky4v4 + jAIsGFj2cDZIi/cf7ApJ+u2nH8Zvf0f6I+wa4y9IcUgOhUWPTbRJEX5u7AID16wnz0BA + wc7MsWBg8Y5Jy8VF0sD4zOLGs+ev3524vPh2Y0/9BhkFzqLocu/92xfCaVRLVWEGlm4s + egZ0safokpWukugOCD8dTMourmntGZmcW+Wn5ieukn6MV8kQ6LycQSAqSIrp8f4OnNLS + yaCTlamepjKuLxTcPmDXeko4Nb9r4+IdigsMzFIkBkUGu8I46lT4vVMP58XfkFEQxD/Z + hfdrfpE0jHPBoqykCH83e1yxUlicPAG5dInC+/ptZeFGTlRydkltKy5ZZ1cwTb3G1Z5w + P032Oz+AQvblxMDuSdFNkG12wdrRUJ6XjrsHdCtHS5WFxfGTQaIQb6qZ4zopLB5iNHT2 + jU3idtQeMBTdBLn4MVfwlzICuRtrH969fbkPf5p5NPigmaSIDKAZCpfdii9YQSHcVcMN + c0fPQIhRVN38ALcGF9e2915wjBNX3/hpBQZd6CU5CuZN/D7O25cHzzaWcXOwpw33DnAj + B7FthuvVU29xMpe6pYzlm8QIjU3LLa1rxR0phMZTYLw97ebgd+E4yUB3at+9gRKb7G5U + R2NFfkZCBElhose2MBTfboZL0S1OuiNl5egREJHAbpn3jkw8WVrfptv+uFGr8I75hQ69 + 7I/kAOghRQQPCbpNyyHG+tlN8+ToYESFIAXm2ZNhQYGBTWLcHVSn283O3sFR2L6obOzo + A8YitmCwjUR3/inIT+5efItjHaEQnYmEoFvmzzagxPjAg5aakpy02DA/N9xsFqRQMM/S + lR+7U8vuNxtb2JNPYT+sqrGTYaxhA4O8CnKcxnExz1LEAGfC/XJsX+xiU4xD1JbSZlKA + hyPbhTlrZ49vw2CbWIv5lH94XFpOcXUTYYibSZDjLI6vATliPj0hGWh6ZQwQgraS1rBB + OQYlasvyMhKxW+xsa2akwzdhTpHi0iVKd6Qte/IpbLEGhscTRmNH7/DDadrYgxyvsNHN + t+r5vWfxelywSebtX3x0jIIhyBhevzzYe7q5soDN4v4uQORnJEUFYyeJbbIq3cCG2Kkb + 91yMG0oqmtjZs3X2CoxISM8prmoUNllpt/uAcTA9xAA5BsKs+xKDAgQmA215Y+seKQi0 + c788R1usXc01pYCIDvF147t6d87anOSRQVvFSD3QN7Gwc/EGRloO9or5hvf88jo2iwUO + URAW6YpATg34YwSiJ9EuMU8/eI2o3tneWFl8Mjk+2NOBfeI8KYSBkHxwViYIZVAgDQQ7 + 3ggNS3tXYMSnZRdWUPLB8Pjkk8UVgYOSQCiNhbJYhASKkyRyghy3XHzOY0FMoaDMA6YD + GFYpo2Wkv7utEakHGYlRIdhftcY2sQZ2u8/ctGdiCNksyBfkGOFxSAQprWlqlyD/AEkU + nOM5sqIOpfk40lSQkySiuSf/ZwB8bWB79UBgWSCkw+ba0tzM4/GhXpYGkoP8iWBfQCCy + NZEi9cWkHFl6lLqOgamlnYsX8lmSM3KLK+tbkOE1NjHNOXZ5Vg5AsIkvTSwSDPsiiwwA + biRNLaJkr+f7iIeN1aX5mcmHI8j0aqxGwlpafGSQjxtB6GqqUrraWVkgtGRgzcA8deXa + zdsqHAO5RZQgdb8QmWrt3XCrx4xjExlSSM1BPgWlqbEUqRM5UtxWQQQRkP/P8rwAIBLA + kQhByI6CDmAYkHQgHwfexNOjHKzuEgRF9hnzE2NgGEilvcowtBHiyPOiZLWUTGQN1iHR + qx+Jj0gaXObZasgZFFIGWbYjEu7INGTqsJQvMWCkh55S8ORy7mQpd68ZAhKjNteQ4UUM + gz1drQ1MCEpV83Kxt0JMyCAU7EuKAPx/TLeU04KEYMQGEgcdEePhMZTBWVpV39Ih6Rsa + owROZA5S/iZP35QlP1JmI8t9ZDgK/hHzH5HNiUw7Mf1xH9m125vryBqcnX48PjIABqTb + FUGIuIhgX6QNUr4dQfDcqC9CXGJJd6h9obxmlsRp7+LJsmkzcgrLqsHR3Tc4Slmc8wxk + m6VxyhJRWR4qMk4JhwZyNtngz5AmKOSiUmYt8mqR9iimoq4sLUCGCaTUSoihojgvKy0h + OjTA25WlcFK23bkhWGggUR4lPCw929AUXoU8zrDohNTM3CJwNLc/6B1AUjDymucX4VpC + UjDlNAtJtQpSgoX0YEoMlssL5mnBLMGZEHg2raSzhRgoDTUWWfMezvY8nVZI4DxrpZD3 + KkQ4nEqa3GzMssz9gsJjEtLAUVpFmcE8u3ly+gnlBq9KE7Tl8rNZcjYsFgdlarMsbZaj + TSnau3Ip2rNQ4eHoEGU2IyO4nBiSYyND/CnT3OICqc0swhmGkGiuRxn/jkjSDg6PTQRH + AWoWKNOcgTxCuv8sSFiyPDL9hVx5aar8C3HwvHkkzMvy5TfX13m6PCX+jwOht7sDWeZV + ZUj7T0+OiwoJQHq2HbL+L5JmThgsxHkJCUp5qPrCDm7lHwI9UjNQP0JZ/xwEpRdC4QLl + /a+yxH/QsKqFnR2k/wsDj6mOgRUvsNqFFeSWLwilC8iT7+950NnWRBn/BbmZaUmxUaGB + PkiVtzE3NdTTQlbzRQpJgIF1g+rbkDKvjmoeU3NreyT++wdTIQwqMApQgcFAumVFJFQB + M7/Iakh4CcnGxsamOPCYakpQrMDrSObmnkCCxxNCGUlXR2tTfQ0Ke1B9kYpymBDGYGt5 + F1VWQqL8V5ZfsBih4KDqYbEYxsjUAhxuVJQUxaphqJSkqrahuZUV9Ayygh6hnoeX8yzS + WJIOesaKeqimZwr2Ux3MMC/pQXFSXTXVJrFKGNRYBXhDB1tLVkKC2gtetHCRAise45el + FWIoiCEOqoihyqQkqg8rKC5DeZhQXNWL0jDUVo3x2ioqrJpGhZJ0TE9PTQkFVuPjo1Qu + 1t8nEcqraqrKgYA6MRS8RYaimscddXuWZiYGuloaQpUYL0s6vjMsPykpfkxehXNDyIGl + Q1VdSwcc5ijWc6UqsdCImPik1HtZOSh0I5KGRtTpdXZ1o86K17mhym1sbFx+oN6NF7tR + rRuV7lGpWyMvdUNdVUYaq9kLZhViDjZgMERJkhorrbpoiZjUq4RiNxkHr9jz8QdIdFwi + L9lD0WEFig7rG5taWtsAg5pDlByicI9GPwZ70Is6PbIedYetLc2NrFaPlR1mo+wwCaWg + qNbz9UK1nh3KJ42pDFQNZbm8mvVbqie5HIgOFEQrCXqYmFlS9aSbp48/XAsFoIlCAShQ + ysDC6j+p/BOln+3yA4WgrAiU1YBWVZSjoDU/TywBjYoIDQ5AVa6rE6qLqZQVRdLEQEVV + F6zVk/MwHh0yDiooNkYlq409gXj7BQjFuMlAyczOoWJWqsWlUtzq6pra2to6YdTW1qAy + FxW5KMilety8XBQXp6dJy3FRIu3uSsWfqGKl6k/4Ei+PFhi+PiLkKGjpoCWQillRoI7i + bk1tXaoqBoidrDAahd2oK07kddHZoMlFUXRhEUYxH/SwENXRebk596k2GuXESSj0jj5a + Gm1lYUYVxbwSl5V4fxcG4pFxsOpoVuHNQSytUR+NEm8UqfujSD0sPBJF3qhRT0oGDgrU + 72XQyMzMZP/fQ1l3GgrVWZ16TDQVRAcHBohl6nY2IgJVd/OqaCGov3wGK3/YT30scMCv + iEOsttdH1wmzow0DwBIMGNCgWwB6BcTFxYsjLg7PYTs1DUDPgCDY74v+B+6ylgEmaEiB + Jg7UV4N6OIjx8J0Y5PTAas4cC5GO/hNo3iC0PuDNG1yo+YEXdT+g1g1o3BASEhIqDjwO + CaYGDrx/gyfsJwB7sX0DGlFQHwpCuHVD1i3gOzKIHEKACF0oVNA8QFtH1kgDbUCojwb6 + IFArCvB4eWP48EEPvbyEZhqslwZag1ija4MZb6WBBhQaTAUWDXAlXu35bTGtyLnIr4iD + Ip2BwLV4SxBownqCmJlbWAo9TaijCfqZOMsGdTehzibU2IT6mpijgQZ6tLC2JpqshwZU + 4P1ZOMI3lNcrMl/22hEQtGcRGsywBjnUYIb3l2HtZVh3GWtraxs+8IiazfAmM9RjBi1z + 0DBHB16EFjMqrMUMORLv1/Ata5zM2jMeyYMgRjgJwoR65aDZD/X6MWC9ftDox/ToMDEx + po4/1CGH9ftBux90luEEcr1lgPDDZJADk4Iw32Kdo0gU8i9qXKSpRa2L0HVJT4/aF4kD + z3TRq4iaLzHz/7OtlxgOB6EgIRLWgQndf6h/F+uBRe2u1NU1qJOUbKAdFuuEpUqdo1jr + KAVtsH67eK8JueN8/of4PYp2gYRQeEMy1o+M2pHB1OODdSWT60km9PH6+Y28jmByEgEF + qoCF9YZD2zRqsIYeZXIDz9nr0v5wrNsd9brD0fgZkXDE9GNPQEKiEApv1Cffpu+abACQ + jSO9+ugPAfD9l4VjRp7zqYBCMKe2TKTOfFgKZJ0Gyf6/C4A8J5nFji//B0hyQ/YGfezv + aL48CnvMDD35z4nP/Xrh1xH4dQR+HYFfR+DXEfiJR+B/AUkMOLEKZW5kc3RyZWFtCmVu + ZG9iagozMSAwIG9iago3ODI4CmVuZG9iagoyMiAwIG9iago8PCAvTGVuZ3RoIDIzIDAg + UiAvVHlwZSAvWE9iamVjdCAvU3VidHlwZSAvSW1hZ2UgL1dpZHRoIDMzMiAvSGVpZ2h0 + IDEyNCAvQ29sb3JTcGFjZQovRGV2aWNlR3JheSAvSW50ZXJwb2xhdGUgdHJ1ZSAvQml0 + c1BlckNvbXBvbmVudCA4IC9GaWx0ZXIgL0ZsYXRlRGVjb2RlID4+CnN0cmVhbQp4Ae3d + +TdbWxsHcK0hMg9CiIRIBCFEiJkaoqnUkJbSoMagTc0qNStFlRpqqqmUlmqpVqvV23vb + 9f5r77MTt5Pk3Ht+eH95s58fuqy1t67l49nnnFjrPF8XF1xYAAtgASyABbDA/5/AOVz2 + BMj9ouF/OP+jXHGdCvwwQcb/wtTmCN/t5uaO66yAm5ubqytS/UdOq6SN0YNC8bQVFReV + empBoXh4ALDNk6g5TyXd3T2AkUqj0el0BoPBxIUEQAI86DTESgFPN9SdDk86onSFkw2Q + wMhgslhsDoeL67sAh81msZgM8Pyu6aA1rZTQk0iSyWJzuTwvPt/b28dHgAsEfHy8+Xy+ + F5fLYbEYdKsmak37nYm6EjUlkuSAo4/A108o9BeJxLjEYpHI318o9BUIvMGTY9X0sJ5z + e5hWSrhQ0hkgCZCgKA6USIKkMlxWAWmQJDBALBL6Cby9uGwmneZpxbR3ys+hayWFCk3J + 9fLxFYKjVCYPCQ1TKMLDI5y9wsPDFYqwkJBgWZAkQCQU8Hkclg3T3imHtgRKTzqTzeUL + hGKJNDhEEa6MVKnUanUMrhi1OloVpYwAUFlQgMjPx4trw3S1c8WEtoTbDs1K6R8olYdF + RKrUsXHxCUnJUCnOXYggKTEhXhOjVikVITKJWGjFpHq6u9lpzHPQlp40BovL9/UPlIVG + RKk1CUkpaekZmVqtNtvZCwyyMjMupCUnxsVGRypCpAgTjrknxf1sY9rakg6UAn9JsCJS + rUlMSc/M1uXoc/PyC3Dl5+Vd1l+6qM1IS06IVUVYMXlsJg015u93cmQJJ5wDlIHBClVs + QmqG9tLlfENhUXGJEZfReL2kuPCqIU+vy7qQHKdWAqafN1wyqR7QmL/9mQOOuIcnncXz + EQbKFFGa5PRsfd7Va8byisrqWpOpztnLZDLVVFeWl5YUGnJ1WWkJgBkk9uVzUWOeOeTn + zsPzELSlr1gaGhmbnKHLvVJcWlFtajA3NjW3tDp7tbQ0N5lv1tdWlRuLCvRawAyXS4Q+ + PLhiepw55OiIw9XSRyiRR6gT0nV5hcYbNfXmptaOTktXN67uLsud9pbGm6aq8mKDXpuq + iQqTQWNyGFSKfUu2l0AsDYvSpGYDZaXJ3Nxu6e4bGBwaxjU8NNjfc/dOa2NDzY1iQ05G + kjoiBBqTy6JR3H+/+Zx39aAyOHy/wOAIdWKG/oqxsu52q6V7YGhkbHxiEtfEw/HR4cG+ + ro7mW7Xl1/IvpsVFhQWJBF5wyN1df7uRw62HykRHPCRSk6LNL75hut1m6RsaHZ+cnn08 + j2tudmZqYuz+YPedlpvVpYX6jER1ODrkbGT52438vBuFxuIJRNIwVcKFS1dLa261WvqG + H0zOzC0uraysOnutrCwvzc9OPRwd7LnTVF9ZnKdNiVXKA4XeHPuWdBZcLmXh6qTMy9cq + 6puAcnzq8eLy2tPNZ1vOXs82N9dXl+ZnJkYHu9vNNaUGXVqcKlTi78NlUD3O9iXcxvl+ + AXJlLBxxY7W5vfveg6m5pdWNrec7uy+dvXZ3Xmxvrq8szEyMDFia6yvgkCdEK6QiAZdJ + hYeiXx/Wz8MjEZuPLpdxaTpDmanJ0j8y+XhpbXN7d+/1/oGz1/7+q72dracr89Pjwz3t + t6qLc7OS1AqZWMBj0uxacsAyVJWQnlNY0dDaPTQ+s7i6+fzl/sHhuyNnr3dvDw9e7Ww/ + XZ57NNrf2VhrzNcmx0YEi3158FBkpy8ZHG9hENx6MvRFleaO3pHJueUNoDw8en+M68PR + uzevdrbWlmbG73U115UZLqZqlPIAP3gosmvJ9faXKqKTMnOLq2939o9NL6xt7b4+PDr+ + dPLZ2evk5OOHdwd7LzaWH08O97Q2lF+Fm48yJNCxpY9IqlAna/NKapssg+MzS0+f7715 + d/zp8xdcf5x8fP92f/fZ6vyj0b72mxWFly7ER8InH76jvvQRwSMRWF43NXcNTcwub+68 + PnwPlH99dfb6688vJ8dHB3vb64vTY/0d5srCnPSEqH9hmW80tXQPT86tPNvdf3d8ApTf + nL2+fv3zj0/vD189f7o082DgjrmySO/QEv7k5sngnvalHcv/OHd9+0bG0tUdPo7Dx56I + mJTsAmNdK/Tl/OrWy4Oj489fvn5zbkn46b99/evLyYfD1y82nsyOD3berroGD+vwwUdo + /UD+67P6OWxJ2C/YkpCH1CK2JMVFuBlbEvKQWsSWpLgIN2NLQh5Si9iSFBfhZmxJyENq + EVuS4iLcjC0JeUgtYktSXISbsSUhD6lFbEmKi3AztiTkIbWILUlxEW7GloQ8pBaxJSku + ws3YkpCH1CK2JMVFuBlbEvKQWsSWpLgIN2NLQh5Si9iSFBfhZmxJyENqEVuS4iLcjC0J + eUgtYktSXISbsSUhD6lFbEmKi3AztiTkIbWILUlxEW7GloQ8pBaxJSkuws3YkpCH1CK2 + JMVFuBlbEvKQWsSWpLgIN2NLQh5Si9iSFBfhZmxJyENqEVuS4iLcjC0JeUgtYktSXISb + sSUhD6lFbEmKi3AzKUuid56/4hd1Hb/zzHYwb8Px++P4Xfx//y6+yy99aZsR8XgFz4j4 + ezbGjxkRS6czIhzPNfhu+dPsktknGzC75Oj45I8vfzp7ffny+dMHNLtkbWFqtK/jVkVh + zj/MLrHN1MmCmTqNnQMPphfXt3b3D98fn+CZOicnn46P3uy92FyZm7zf0/Zjpo6jOTAc + b/8ghQqmX16rMnf0jU7Or2w+39t/e/Th+KOz1/Hx+6PD1zvb60uz40NdLfVlBh3hrCf6 + rzPIhmFA0dqzF3v7h2+dfQIZ/PzvDt+83t3eWJmfGh2wNJmMBdkp1hlkXvZnkP2YjXel + vK7ZMjD6aO7J+rPnL1/tH7xx9jrY39/b2d5YXZh5ONzbYa4uyctKjoFhogKY52Z3Nh4b + RtyGKGFacL6xxtzRMzQ+Pf9kfXP7xe7LPWevly93nsOYwaXZydHBuy0NFUUwBkZtm9lo + x9IN5l96+YqDYXAW3HwqG1osfffHp+eWVtY3n23j2trcWFtemJ0cu9fTcbu27AqMIFOF + BjmaJWqdcSuWKaLR0MayWnNb18D98anZ+aXl1bV1Z6+11ZUni3PTk2NDfZ3NDZUl+dkp + GjTOzZvDsDsvGM1e9g8KQSPBC0oq65rau/qHxyamZh7PLyw6ey3Mz81OT46PDvZaWs01 + ZYX6TBgKHhzgZ3/2sm0muDBQrlQnZegLjVUNje2W3sHhURgJ/mjK2evRo8mHD0aG+rs7 + W82mG8UFurR4lUIqdjATHM39Z0OEgkyhiku7mFdUWl1/u/XO3d7+e8P3R0advUZGYFh9 + X7elvemWqeL6FX1mcozydFa9p51Z9ShDgQeBMyFKGFZ/Kb+otNJ0s7G1vfNud09vn7NX + by+EKHS0NZvrq29cv5qbjdpSJvaDcA/q2bn/KNuDxuTy/cQyCFFIybyUV1hSXmVquHW7 + qaW1rd3Zq62ttQXCPeqqK0qLDZcvpieiCAV/gReMqj+b7WHLnGHzBEJIQlLFp2ToLhsK + S0pvVFWb6uobcDXUm2ohdMZYfDVfr72QFBsZCgE+fAjwsZs5gyK6oDEFIok8XKVJSsvS + 6fMMhddKjKVl5bjKy4zXi4uuFuTmZGekJsREhskCIHKGDTEpZyIUXFCuFAQaorgzK2ZM + fHJahlaXczkv32C4gstgKMjLhZCurPTURI1aiSgFXhwmtOWZyBkXwLRmx7F53n5iiVyh + VMXGJ6LouCxttg6XTncxW5uVcSE1OUGjjooIRZTohFvb8reYFGSJMg0hHpLnDZ0pCwFN + iDRMSIQ8w9Q0XGmpKclJKNQwOioiTC61UTJQdNyZiC5kibI2IbaUA5j+AaAZFq6MUkWr + Y2I1uDSa2Fi1WqWCsM1QuRTiIVFXAiVKjjvTli4uKJnYwxMwrcGlogBrBGyYAvJflbhA + IAJSYENRCGygWOiLkjaBkmI3avO0Ma2YEKjrLfATomhilEwcHCzHJQ8OlsmkEkmA2B8k + T+N0Ke7Qlvb6EsWPu7lD0DONDuHjp5HZkPUMFYArABhEEJrth0KzeVwWEyWQO6K0NiYk + PUM+MRVis9kcFOV+GuTuiwuCxyHOHdLcvXiQPo4Cs22J2eftRWZDnA80JsrNhtak0ukM + JosNoFwuj8fzwgUKYMHlsFksJoNOszYl3MIdUQImaKLWBE1PKo0OoAwmk4XLJsBkgiId + HKmoJ9HDEAGlDfO8q5XTgwKgQApFwwUCSAKJUCgA+Y+SKLXrHOpNxAntaS0PXN8FbCJu + bq6oJR0f75/Sz2ycaLfrabnh+psCsUCBkZ1H9J8Qf/4SbbaW7Xvxv6eAVpOfofDXWAAL + YAEsgAWwABbAAljgfyXwX5vrQjAKZW5kc3RyZWFtCmVuZG9iagoyMyAwIG9iagozMjg4 + CmVuZG9iagoyNiAwIG9iago8PCAvTGVuZ3RoIDI3IDAgUiAvVHlwZSAvWE9iamVjdCAv + U3VidHlwZSAvSW1hZ2UgL1dpZHRoIDE5OCAvSGVpZ2h0IDEyNCAvQ29sb3JTcGFjZQov + RGV2aWNlR3JheSAvSW50ZXJwb2xhdGUgdHJ1ZSAvQml0c1BlckNvbXBvbmVudCA4IC9G + aWx0ZXIgL0ZsYXRlRGVjb2RlID4+CnN0cmVhbQp4Ae2c91tU2bKGnXvPmBXJOUvOOeec + g4AEyTnnLE2OigTJGRQBM4ZxZs49z/nX7ldr7d3d0A0Co565z3X9oJ3ornd/VWvtvVdV + Xbr0c/w8Aj+PwM8j8P/yCPxydPzfOQbM7v86fbDP/D2RyDRu/H9j/APjVyWDXsfbAiX+ + 5O8DI9pPxjPLL1++fOXKlauKA69euXyZfUZG859nEQAE+2E8WX7t2vXr12/wcVM6hBeu + X792jT4EHKIBDBPmP6YK9yAAwBhu/zWy/ebNW7duY6io3MFQFQc9UVFRoXdu3byJzzEa + zgInI5QfTsI1YAQMgOxn1sN0VVU1NXV1DQxNDC0+6CG9pKGupgawO4RzCzDXSRiSRRDl + x5EIIkAD8iAOgGN/R5UZr6mlra2to6urh6HPhgH/j17Q1dXR0dbW0gKROmgIRkBhJEyT + HwHCELgIVxgB3IcOP468FoyH5QaGhoZGxiYYpqamZuLAY3rJ2MjI0NBAHzxEw1iAAlVI + FE7y3X1LhkAiwImIQE1dQ1NbW5fMJ+NNzczN71pYWlpaWVlb28gNa2srK0tLC4u75uZm + psAxNNQnFuiiTqIQyY8A4QxwJCBcRxgQgYaGFgEYGhqbmJqbw3gYbmtnb+/g6Ojk5OTs + 7OwiDGdnPHdydLC3t7e1sbG2sgSNmYmJkaEBoTAS8i5IIiryy/dYTGhNo/noshRBnREY + AMDM/K6llY2NHYx3cnZxdXN39/D09PLy8vb2EYe3tzde8PRwd3dzdQGRg72drQ1YgGJM + LkYkaqoqAgiinaatbwwiyoBwhiORCuqa2jrQAAQEYGvvQObDeC9vX18//4CAwKDg4OCQ + kFCMMPonJCQkODgoKDAgwN/X18fLy8PdDTCO9nY21pZ3zU1NSBMZyPcQRMpAMkgRDIyg + AQjs7AHg7gHz/QICgoJDwsLCIyOjomNiYmLj4uLFERcXGxsTEx0VGRkRHhYaHBwY4Ofr + DRZXF8jCSKCJPge5fYt5FhfkW+nBfIlcCTLcVkEsaOnoAQFRYGPn4OTi5g4A/wCYHx4Z + FRMTF5+QlJScknrvXlp6enqGOPA4Le1eampKclJifHxsTHRkBGDA4uPl4eYCUeBe5qYc + BNEOz0KEfDsOOYab8CTMRxzBysbe0dnV3cvHLyAoJCwiCuYnJqWkpqXfz8zKznmQm5eX + X1BQUFhYRKOwsBBP8vPycnNysjPvZ6TfS01OSogDSzhQ/H0Zib2tNQPR09HSULtDgnwr + DnImxDTpAAYmgyFCAQhOLu6e3n4BwaHhkTFxCUkp92B+zgOYXlRcUlpWXlFZWVVdXSM3 + qqsqKyvKy8pKiosKCoCTlZmelpqcGB8TFREGEh8vd1cnBwIxMTKAZ6mrqogcFOd/4eT3 + OIO2LnmSJUPw8vEPCgFBfCIAMrMf5BUUFpeWVVRW19TW1zc2NTW3tGK0tbW1t7fj3zY8 + aWluampsqK+rqamqLC8rKSKWzIy01KT42GiQBPgSiL2t1V0zY0M9eQ7MVxcPD3Im6HDl + 6nWmA1zJGDLYOji7eQIhNCI6LjH5XkZmTl5BUUlZRVVNHaxvaW3r6Ojs7n7Y0yOR9Pb2 + 9vGBRxKJpOdhd3dXZ0c78TTU11RXlpcWF+Q9yLpPJDGRHMTFyd4Gghjq6UAP+NW1q5fZ + vHsxOQQhwHCDfIkxWEAGVw9vIECEpNR0IiguBUB9Q1NLG6x/KJH09fcPDg0Nj4yMjo6O + jY2N08D/eDY6Mjw0NDjQ39cr6enuAkxzY31tdWVZcSFIMu4lJ8QyEE83Z4GD9ECcs/C4 + mBwEwQPiloqqBnzJ2Ixcyc3LNyAkIpoQsh7kF4Ggtp4Auh5KevsHYPzo2MTEo8eTk0+e + TGFMSwc9ezI5+fjRxMT46Ojw0GB/H1g621ubGuqIpCAv+35acgIUCfLzdndxsGV6aFOc + I8wvKAePauZMqupaOvqMwdndyy8oLDI2kRAKissqa0DQ3tkNgMFhmA/rn0xNz8zOPp2b + n59fWFxcXBIHHi8s4MW5p7OzM1NTk5OPADM8NNAnedjVAZLaqvKSgryc+/eS4qPDgwN8 + PFwcRQ4K82uYdSk6zhfkBIETpms3mBB6hqbwJWd3b//g8Oj45LTMnHwg1DY0t3Z0S/oG + hkbGJh5PkvkwHoYvr6yurq6tr28cHevra2urqyvLS0uLC0QzPTX5mFAG+yUPO9tbGuuq + AZKblZ6aGBMZEuDLOYwNdLXURTnO51WiNyEiIISuoYm5tb0TMUTEJKRmZOcVlVbWNDS3 + dT7s7R8aHZ+YfDI9+xSHfgnWw/TNre3t7Z2dZ8+eHxvPnu3gna3NjY31NaIBC3SBKqPD + A3093R2tjXVVZcX5OZn3kuIiQ4nDwcbC1EhPWwPRQYsHnVydWQ5AsDUCUxMiQt/IzNIW + 8UAMian3IUN5dX0TEPoGhkcZwdw8Hf51Mn8Hpu++eLG3t7e/f3DwUn4cHBzs7+ONF7vP + nxPOJrEQylMiGRsZ6pd0d7Q01FSWFuRmpjEOH3dne2tzEwNdTbU7zKvOgcEhrlyFN6lp + Qoi7Ng4unr7B4cTwoKC0srYRjtQ7MDw2MTkFH1paXl3b2CT7d2H9Pkx/9er1GxpvMd4J + Aw/Za69fvwLaAXAAs7O9tbG+ugKS2eknj8dHh/p7utqa6qvKivKyiCPE38vNydbSDKsH + 5GBz7pnVYEogJG7fUdfWMzSztHNy9wkIi07gDHVNbV0SONLE5PQsggASbG0DAPbDfFgP + w99jHB5++PDho/zA88PDQ7z17h2ACAYsL0iWzXVoAkkAMjLQC0HqqzlHTESwr6cL5DDW + F7zq8lljXApB3mSMiHDx8guOjE/JyIEO9c3t3b2DI6TC/OLyKjR49vwFAZD9MB+2w/JP + nz59/vyb4vj8+fOnT3gfPETDWA6IBJqsrQBkenKCBOlobagpK8rNTE2IDgvwdnOysTAx + 1NVkwXFGDDkIHQMTCxsnN+/AsJiktOz8ksq65o7uvqHRR09m5ghhaxsa7JMCADhk5nPj + v3z5HeMPhYEXv3z5QnSMhlggy6uXRLKzzUBmpx6PD/f3dLY2VJcV5GSkxEUG+3o428Gr + EBwqiPEzYbC1jtxJVUPH0BTe5OELIVIzc4srapvawTD2GDLAkRjCATR4Swrg8OPgk/XM + 9D8x/qk46GX2PtEwFuhCosC/9hnI6vICCQIO+FVVSX5WWiLk8HKxtzI30tOSYnxlojoG + Ye/i6R8anZiWXVBW3djW3UsMTxeW1za2n+3uEQLTgAFw+5nx/3P6AB2nAQupwkjeQhIC + 2VxfWZqb4RxNtRVFDzKSY8MDvd0crKUYNOGeikHTE24OcCXMLBESAWGxyRm5xZV1LZ2S + wVEwLK6sb+48FxDgRSQBCXDE/n+dMkREYsHfCSTwLoAc7O0+295YXZqfmRwf6utua6gu + zc9MjYdXuTvamBszNbCM/+P0ZYMgLosQVvau3oER8Kb80urG9of9I4+gw8r6FmR4+Qoq + wI0+ywi4ccqs/7eyFwUWoAgknz4SyGsShDjmpifHBiUdzbXlhTlpiVHAcLKBGghxOhs5 + VQzmT1ev37qjoW1gagmIoMj4e9kF5bXNnZKh8cnZeWJ4sf/y9dv3hyICacBMUrT138JQ + fEd4haMwTUgSgCBGIAhxLD6dejTS391aX1n8ID0pOoRj0Ex1g0X4yT7F/OnaTRV1bQMT + DpGQllNYUd/a3T/6eHp+aY0xMBngSPAjIJyswb9EilNh2N8zSQCCIDkUOVYWZpkcjdUl + eRlJMcBwtDY31NFQvXX96mk+JfqTmpaeiYWdC5QARHFlQ3vP4Njk7OLqxs7u/kuBgWJB + QFB2qI8BHHt67C/kQEiQw/fQ48WzrbWluamJ4d7OpprSvPsMw8HK1EBb/c7NU33qF1xQ + wJ9UNXSN7to6eyEmAFHV2CEZmpiaW1rffr5HDB8/cRm4Ix0z6Ji5Jzw99kd4ykEQIxCE + c+zv7mysLMw8Hu3vaq4pA0Z0sK+rvaWJvrbaqT4l+pOGtqG5jZNnQHj8PQbRO/xoen5l + Y+fFAeLhg8jAflfBnBPMVv7y0b+mL6QQ4Rzv3rzcew45ZidH+7tboEZGUlSwt4vdXWNE + OPcp5ZHBpLhxW01L38TSwd0vLDY1u6iysaN35DG8aYuEwLT0mYUDi4YjRii38/RXj3wB + njAOTFqM4/3bV/u72xtLT5+MMYzc9ITIAE9MVAgNlZvXLv+qfLYVpLhD/mTn4hMck5JZ + UNnAIJbWtnf3X789BMMXMRyOmHC6tae8e+Rb5Dh++/zxA8mxs7E8RxjNNcU59+LD/Nwd + 4FNaNE+dMNuKUmgbmFk7eQZGJt7PL69vlww/nkVIvGBC0OrAQ/rYr59i5xnekv8y7ld/ + /EHh8R4YzzZW5p6M9nc2VRVlp8YE+7jY3jXS1UCAX/6H0gUcFJev3byjoWdsYe/mGxaf + llta29oz9Gh2kUMgqjEvsZiW/9kzWPm1j8h/naAH3ApyHL59tfccakyO9nU0VBTcT4JP + OVqZniYGlm2s2mqQwsbJKzg6Jauoqrl7cGJ6YY0pwSJCiRBfM/FM7ytw0JL+5TcsHq+g + xtLs42FJW11pblpcmK+rHYmhgqVPmRgkxVVIoUtS+IUnZOSVN3T0jU3Nr3IIaUQc+cUz + 2Xi2D8l9r+BWv//26QPHmJkY7G6uKspKjgr0dGJi3Lp+5VclLgWKK1grtPRNrZ28gqJT + c4prWiXDk09XNp8fvHn/ERCKQpzNvDN+So6CuRVNVoTxcm97dX5qrL+jvjwvPT7M18UW + 05Q6j2+FGzu/MIdSx1ph54qoyMivaOwamJhZ2ni2Lwch+6kz2naej8m+XA7j8M3L3a2V + p5PDPa01xVmIDJqm9BDfNEspULCwQGxjrfAIiEzOJilGJsmfXr37+FlQQvY757Hu7J+V + fT8tHkwNzFT7z9YXp8chRlleWmywt7ONmYGW6i2lsxTNUKJDBcemISo6mRTwp8NPv3F3 + kvuRs1t2zk/KfoNhIMTfvXyxvTo3OfywpaowM4HFt6G2+m2lgUFhgRnK0NzWxTcs4X5B + dUvP8OTc6vbeKwTF73/Qai39gXMadq6PS39EUOPLp0MmxtRYX3tdSU4KcyljNksphvcv + //XrlRu31XWMLOzd/SOTsovr2jFBLW4wKb4chTh+yn0uK7/64aMYiHCIsbu1PPtosKux + PO9eTLCXk5WJnuYdWr6Pn0qB4uoNFQoLR4/AqNQHZeRQs8ubuy/fQYo/5ZX4vhBEKYJQ + bPzx26f3r2maQnw3VxWkx4VQYGDho/BWSkHBbYUlLyYtr6Kpe4g51Gs4FEkhfvNXj+W3 + +ID4Y0Tx5TNzqYWpUUlrTREFBs212hTeCpMUaXHzjqa+qY2zd0hsekFlS8/Ik/m1nT3E + NnMo4Yu/hY1f/w6RArHx5++/fXj78vnG0vR4X3ttcVZiuJ+rHSjUbtMkdWyqpeXiJtY8 + MxsXn9D4jILqVsno1MI6FovDz+RQPxRC3qfgUhQYm0uzE5hrS7KTIvzd7O8SxfUTKXAS + RRT3C7FajE4triO4P8hRfP0ofqtPCEeNBYYQ3kRRmkPrnr2Fkc45KGiK+vtQDJyVQsGj + sObJafH9pydBSzEwpFrIPCrS3/1kj6LoVhWiOy69oEqIbsQFi+4fGxciBI/ujyy6Z3AO + UoczqQg/NzsWF8qim9aLO5p6JjijpZm2Um6mlZ+jfogachCYoz5/eHPwfGNxarS37Swz + rbDqeeK8PLessWvw0ezyFlv1/pBf9b5V/J78PfIQWC8+vX+zt4NVb6SnpaogIz7Ux4Wd + Dio7qRXOQHTpDASntDkldR3949NL7AwEZ7RHTqO+tx5yFP/EcvHx3asXODd/NNTdVJGX + Rmcg1qY4A1G2dl+Sng3S5UViZiEWDCx7OBukxft3doUk/faTD+Nff0f6I+wa409IcUgO + hUWPTbQpUQEe7AID16yKZyCgYGfmWDCweMel5+EiaXBidmnz+cu3HxQuL/66sSd+g4wC + Z1F0uffx/SvhNKq1uigTSzcWPSO62FN2yUpXSXQHhJ8OpuSU1Lb1jk7Nr/FTc4WrpO/j + VTIEOi9nEIgKkmJmYqATp7R0MuhiY26grYrrCyW3D9i1ngpOze/aufmG4wIDsxSJQZHB + rjCOOhV+78TDefE3ZBQE8U924f2WXySN4FywODslKtDDEVesFBaKJyCXLlF4X7+tKtzI + iUnNKa1rwyXr3Cqmqbe42hPup8l+5ztQyL6cGNg9KboJssMuWDsbK/IzcPeAbuXoqLOw + OH4ySBTiTTVLXCdFJEKMxq7+8SncjtoDhrKbIBc/5kr+UkYgd2Pt04f3r/fhT7OPhx62 + kBTRQTRD4bJb+QUrKIS7arhh7uwdDDGKa1oe4tbg0vrO3iuOoXD1jZ9WYtCFXpKjYN7E + 7+O8f33wfHMFNwd723HvADdyENsWuF498RYnc6lbqli+SYzw+PS8svo23JFCaDwDxvuT + bg5+Ew5FBrpT++EdlNhid6M6myoLMpOiSAozA7aFofx2M1yKbnHSHSkbZ6+gqCR2y7xv + dPLp8sYO3fbHjVqld8wvdOhlfyQHQA8pInhI0G1aDjE+wG6ap8aGIioEKTDPKoYFBQY2 + iXF3UJNuN7v6hsZg+6KqqbMfGEvYgsE2Et35pyBX3L34K451hEJ0JhKCbpk/34QSE4MP + W2tLc9PjIwI8cLNZkELJPEtXfuxOLbvfbGrlSD6F/bDqpi6GsY4NDPIqyHESx8U8SxkD + nAn3y7F98QKbYhyirow2k4K8nNkuzGk7e3wbBtvEOsynAiMT0nNLapoJQ9xMghyncZwH + 5Ij59IRkoOmVMUAI2kpaxwblOJSoK8/PTMZusau9hYke34Q5QYpLlyjdkbbsyaewxRoc + mUgYTZ19I49maGMPcrzBRjffquf3nsXrccEmmbd/9dExCoYgY3j7+mDv2dbqIjaLB7oB + UZCZEhOKnSS2yapyAxtiJ27cczFuqKhpY2fP3tUnOCopI7ekuknYZKXd7gPGwfQQA+QY + CLPuawxKEJgMtOWNrXukINDO/co8bbF2t9SWASI2zN+D7+rdOW1zkkcGbRUj9cDQzMrB + zRcY6bnYK+Yb3gsrG9gsFjhEQVikKwM5MeCPEYieRLvEPP3gLaJ6d2dzdenp1MRQbyf2 + ifOlEEZC8sFpmSCUQYE0EOx4IzSsHd2BkZieU1RJyQcjE1NPl1YFDkoCoTQWymIREigU + SeQEOW65+JzHgphCQZkHTAcwrFFGy+hAT3sTUg8yk2PCsL9qi21iLex2n7ppz8QQslmQ + L8gxIhOQCFJW29whQf4Bkig4x0tkRR1K83GkqSCKJKK5iv8zAL42sL16ILAsENJha315 + fvbJxHAfSwPJRf5EqD8gENnaSJH6alKOLD1KU8/I3NrBzQf5LKmZeSVVDa3I8BqfnOEc + L3hWDkCwiS9NLBIM+yqLDABuJE0tomSvl/uIh8215YXZqUejyPRqqkHCWnpidIifB0Ho + a6tTutppWSC0ZGDNwDx15drN22ocA7lFlCD1oAiZah09cKsnjGMLGVJIzUE+BaWpsRQp + hRwpbqsgggjI/2d5XgAQCeBIhCBkR0EHMAxKOpGPA2/i6VFONncJgiL7lPmJMTAMpNJe + ZRi6CHHkeVGy2r0sZA3WI9FrAImPSBpc4dlqyBkUUgZZtiMS7sg0ZOqwlC8xYKSHnlLw + 5HLuZCl3bxkCEqO21pHhRQxDvd1tjUwISlXzcXO0QUzIIJTsS4oA/H9Mt5TTgoRgxAYS + B50R45FxlMFZVt3Q2inpHx6nBE5kDlL+Jk/flCU/UmYjy31kOEr+EfMfkc2JTDsx/XEf + 2bU7WxvIGpybeTIxOggGpNsVQ4iEqFB/pA1Svh1B8Nyor0JcYkl3qH2hvGaWxOno5s2y + aTNzi8prwNHTPzRGWZwLDGSHpXHKElFZHioyTgmHBnI22eDPkCYo5KJSZi3yapH2KKai + ri4vQoZJpNRKiKGyJD87PSk2PMjXnaVwUrbdmSFYaCBRHiU8LD3b2BxehTzOiNiktKy8 + YnC0dDzsG0RSMPKaF5bgWkJSMOU0C0m1SlKChfRgSgyWywvmacEswZkQeDatpKuVGCgN + NR5Z816ujjydVkjgPG2lkPcqRDicSprcbMqyzANCIuOS0sFRVk2ZwTy7eWrmKeUGr0kT + tOXys1lyNiwWB2VqsyxtlqNNKdov5FK056DCo7FhymxGRnAFMaTGR4cFUqa51QVSm1mE + Mwwh0dyAMv6dkaQdGhmfDI5C1CxQpjkDeYx0/zmQsGR5ZPoLufLSVPlX4uB580iYl+XL + b21s8HR5SvyfAEJfTyeyzKvLkfafkZoQExaE9GwHZP1fJM2cMFiI8xISlPJQ9YUD3Cow + DHqkZaJ+hLL+OQhKL4TCBcr7X2OJ/6BhVQu7u0j/FwYeUx0DK15gtQuryC1fFEoXkCc/ + 0Puwq72ZMv4L87LSU+JjwoP9kCpvZ2lubKCDrOaLFJIAA+sG1bchZV4T1TzmlraOSPwP + DKVCGFRgFKICg4H0yIpIqAJmYYnVkPASks3NzS1x4DHVlKBYgdeRzM8/hQRPJoUyku7O + tuaGWhT2oPoiDeUwYYzB3vouqqyERPlzll+wGKHgoOphsRjGxNwKHB5UlBTDqmGolKS6 + rrGljRX0DLGCHqGeh5fzLNFYlg56xop6qKZnGvZTHcwIL+lBcVJ9DdUmsUoY1FgF+UIH + e2tWQoLaC160QHF9fFNVPp6VPeYxfllaIYaCGOKgihiqTEqh+rDCknKUhwnFVX0oDUNt + 1TivraLCqhlUKEnHzMz0tFBgNTExRuViA/0SobyqtroCCKgTQ8FbdDiqeTxRt2dtYWak + r6MlrRK7WNEeeRWXA0uHuqaOHjgsUaznTlVi4VFxiSlp97NzUehGJI1NqNPr6u5BnRWv + c0OV2/j4hPxAvRsvdqNaNyrdo1K3Jl7qhrqqzHRWsxfKKsSc7MBgjJIkDVZaddESMalX + CcVuMg5esecXCJDYhGResoeiw0oUHTY0Nbe2tQMGNYcoOUThHo0BDPagD3V6ZD3qDtta + W5pYrR4rO8xB2WEKSkFRrefvg2o9B5RPmlIZqAbKcnk168WE4D4mlQMF0SqCHmYW1lQ9 + 6eHtFwjXQgFoslAACpRysLD6Tyr/ROlnh/xAISgrAmU1oNWVFShoLcgXS0BjosJDg1CV + 6+6C6mIqZUWRNDFQUdUFa/XkwoRHB6Jc4KCCYlNUsto5EohvQJBQjJsKlKycXCpmpVpc + KsWtqamtq6urF0ZdXS0qc1GRi4JcqsfNz0NxcUa6tBwXJdKe7lT8iSpWqv6EL/HyaIHh + vGEtx4CHTA5ezIoCdRR3a+vqU1UxQBxkhdEo7EZdcTKvi84BTR6KoouKMUr4oIdFqI7O + z8t9QLXRKCdOQaF37NHSaBsrC6oo5pW4rMT7mzAQkYyDVUezCm8OYm2L+miUeKNIPRBF + 6hGR0SjyRo16SipwUKB+P5NGVlYW+/8+yrrTUajO6tTjYqkgOjQ4SCxTd7ATEai6m1dF + C0H99TPYowf+hGcCB/yKOMRqe0N0nbA42jAALKGAAQ26BaBXQEJCojgSEvActlPTAPQM + CIH9/uh/4ClrGWCGhhRo4kB9NaiHgxgP34hBTg+s5qzzASId/SfQvEFofcCbN7hR8wMf + 6n5ArRvQuCEsLCxcHHgcFkoNHHj/Bm/YTwCOYvsGNKKgPhSEcOuGrFvAN2QQOegcUdaF + Qg3NA3T1ZI000AaE+migDwK1ogCPjy+GHx/00MdHaKbBemmgNYgtujZY8FYaaEChxVRg + 0QBX4tWefy2mlbkW+RVxSEHgWrwlCDRhPUEsLK2shZ4m1NEE/UxcZYO6m1BnE2psQn1N + LNFAAz1aWFsTbdZDAyrw/iwc4fynG8qsVvLaERC0ZxEazLAGOdRghveXYe1lWHcZW1tb + Oz7wiJrN8CYz1GMGLXPQMEcPXoQWM2qsxQw5Eu/X8FfWOCVmK74kD4IY4SQIE+qVg2Y/ + 1OvHiPX6QaMf86PDzMyUOv5QhxzW7wftftBZhhPI9ZYBwneTQY5HCsJ8i3WOIlHIv6hx + kbYOtS5C1yUDA2pfJA4800evImq+xMz/z7ZeYjgchIKESFgHJnT/of5drAcWtbvS1NSi + TlKygXZYrBOWOnWOYq2jlLTB+uXc595yh/cCD/F7FO0CCaHwhmSsHxm1I4OpxwfrSibX + k0zo4/XjG3kd4eUkAgpUAQvrDYe2adRgDT3K5Aaes9el/eFYtzvqdYej8SMi4Yjpx56A + hEQhFN6oT75N3zXZACAbR3r10R8C4NsvC8eMPONTAYVgTmyZSJ35sBTIOg2S/X8XAHlO + MosdX/4PkOSG7A362N/RfHkU9pgZqviPwud+vvDzCPw8Aj+PwM8j8PMI/MAj8L+k3jiu + CmVuZHN0cmVhbQplbmRvYmoKMjcgMCBvYmoKNzgyNQplbmRvYmoKMjQgMCBvYmoKPDwg + L0xlbmd0aCAyNSAwIFIgL1R5cGUgL1hPYmplY3QgL1N1YnR5cGUgL0ltYWdlIC9XaWR0 + aCAxOTggL0hlaWdodCAxMjQgL0NvbG9yU3BhY2UKL0RldmljZUdyYXkgL0ludGVycG9s + YXRlIHRydWUgL0JpdHNQZXJDb21wb25lbnQgOCAvRmlsdGVyIC9GbGF0ZURlY29kZSA+ + PgpzdHJlYW0KeAHtnPdbVNmyhp17z5gVyTlLzjnnnIOABMk55yxNjooEyRkUATOGcWbO + Pc/51+5Xa+3d3dANAqOeuc91/aCd6K53f1Vr7b1XVV269HP8PAI/j8DPI/D/8gj8cnT8 + 3zkGzO7/On2wz/w9kcg0bvx/Y/wD41clg17H2wIl/uTvAyPaT8Yzyy9fvnzlypWrigOv + Xrl8mX1GRvOfZxEABPthPFl+7dr169dv8HFTOoQXrl+/do0+BByiAQwT5j+mCvcgAMAY + bv81sv3mzVu3bmOoqNzBUBUHPVFRUaF3bt28ic8xGs4CJyOUH07CNWAEDIDsZ9bDdFVV + NTV1dQ0MTQwtPughvaShrqYGsDuEcwsw10kYkkUQ5ceRCCJAA/IgDoBjf0eVGa+ppa2t + raOrq4ehz4YB/49e0NXV0dHW1tICkTpoCEZAYSRMkx8BwhC4CFcYAdyHDj+OvBaMh+UG + hoaGRsYmGKampmbiwGN6ydjIyNDQQB88RMNYgAJVSBRO8t19S4ZAIsCJiEBNXUNTW1uX + zCfjTc3Mze9aWFpaWllZW9vIDWtrKytLSwuLu+bmZqbAMTTUJxbook6iEMmPAOEMcCQg + XEcYEIGGhhYBGBoam5iam8N4GG5rZ2/v4Ojo5OTk7OzsIgxnZzx3cnSwt7e3tbGxtrIE + jZmJiZGhAaEwEvIuSCIq8sv3WExoTaP56LIUQZ0RGADAzPyupZWNjR2Md3J2cXVzd/fw + 9PTy8vL29hGHt7c3XvD0cHd3c3UBkYO9na0NWIBiTC5GJGqqKgIIop2mrW8MIsqAcIYj + kQrqmto60AAEBGBr70Dmw3gvb19fP/+AgMCg4ODgkJBQjDD6JyQkJDg4KCgwIMDf19fH + y8vD3Q0wjvZ2NtaWd81NTUgTGcj3EETKQDJIEQyMoAEI7OwB4O4B8/0CAoKCQ8LCwiMj + o6JjYmJi4+LixREXFxsbExMdFRkZER4WGhwcGODn6w0WVxfIwkigiT4HuX2LeRYX5Fvp + wXyJXAky3FZBLGjp6AEBUWBj5+Dk4uYOAP8AmB8eGRUTExefkJSUnJJ6715aenp6hjjw + OC3tXmpqSnJSYnx8bEx0ZARgwOLj5eHmAlHgXuamHATRDs9ChHw7DjmGm/AkzEccwcrG + 3tHZ1d3Lxy8gKCQsIgrmJyalpKal38/Mys55kJuXl19QUFBYWESjsLAQT/Lz8nJzcrIz + 72ek30tNTkqIA0s4UPx9GYm9rTUD0dPR0lC7Q4J8Kw5yJsQ06QAGJoMhQgEITi7unt5+ + AcGh4ZExcQlJKfdgfs4DmF5UXFJaVl5RWVlVXV0jN6qrKisrysvKSoqLCgqAk5WZnpaa + nBgfExURBhIfL3dXJwcCMTEygGepq6qIHBTnf+Hk9ziDti55kiVD8PLxDwoBQXwiADKz + H+QVFBaXllVUVtfU1tc3NjU1t7RitLW1tbe34982PGlpbmpqbKivq6mpqiwvKykilsyM + tNSk+NhokAT4Eoi9rdVdM2NDPXkOzFcXDw9yJuhw5ep1pgNcyRgy2Do4u3kCITQiOi4x + +V5GZk5eQVFJWUVVTR2sb2lt6+jo7O5+2NMjkfT29vbxgUcSiaTnYXd3V2dHO/E01NdU + V5aXFhfkPci6TyQxkRzExcneBoIY6ulAD/jVtauX2bx7MTkEIcBwg3yJMVhABlcPbyBA + hKTUdCIoLgVAfUNTSxusfyiR9PX3Dw4NDY+MjI6Ojo2NjdPA/3g2OjI8NDQ40N/XK+np + 7gJMc2N9bXVlWXEhSDLuJSfEMhBPN2eBg/RAnLPwuJgcBMED4paKqgZ8ydiMXMnNyzcg + JCKaELIe5BeBoLaeALoeSnr7B2D86NjExKPHk5NPnkxhTEsHPXsyOfn40cTE+Ojo8NBg + fx9YOttbmxrqiKQgL/t+WnICFAny83Z3cbBlemhTnCPMLygHj2rmTKrqWjr6jMHZ3csv + KCwyNpEQCorLKmtA0N7ZDYDBYZgP659MTc/Mzj6dm5+fX1hcXFwSBx4vLODFuaezszNT + U5OTjwAzPDTQJ3nY1QGS2qrykoK8nPv3kuKjw4MDfDxcHEUOCvNrmHUpOs4X5ASBE6Zr + N5gQeoam8CVnd2//4PDo+OS0zJx8INQ2NLd2dEv6BoZGxiYeT5L5MB6GL6+srq6ura9v + HB3r62trq6sry0tLiwtEMz01+ZhQBvslDzvbWxrrqgGSm5WemhgTGRLgyzmMDXS11EU5 + zudVojchIiCErqGJubW9EzFExCSkZmTnFZVW1jQ0t3U+7O0fGh2fmHwyPfsUh34J1sP0 + za3t7e2dnWfPnh8bz57t4J2tzY2N9TWiAQt0gSqjwwN9Pd0drY11VWXF+TmZ95LiIkOJ + w8HGwtRIT1sD0UGLB51cnVkOQLA1AlMTIkLfyMzSFvFADImp9yFDeXV9ExD6BoZHGcHc + PB3+dTJ/B6bvvnixt7e3v39w8FJ+HBwc7O/jjRe7z58TziaxEMpTIhkbGeqXdHe0NNRU + lhbkZqYxDh93Z3trcxMDXU21O8yrzoHBIa5chTepaUKIuzYOLp6+weHE8KCgtLK2EY7U + OzA8NjE5BR9aWl5d29gk+3dh/T5Mf/Xq9RsabzHeCQMP2WuvX78C2gFwALOzvbWxvroC + ktnpJ4/HR4f6e7ramuqryorysogjxN/LzcnW0gyrB+Rgc+6Z1WBKICRu31HX1jM0s7Rz + cvcJCItO4Ax1TW1dEjjSxOT0LIIAEmxtAwD2w3xYD8PfYxwefvjw4aP8wPPDw0O89e4d + gAgGLC9Ils11aAJJADIy0AtB6qs5R0xEsK+nC+Qw1he86vJZY1wKQd5kjIhw8fILjoxP + yciBDvXN7d29gyOkwvzi8io0ePb8BQGQ/TAftsPyT58+ff78m+L4/Pnzp094HzxEw1gO + iASarK0AZHpyggTpaG2oKSvKzUxNiA4L8HZzsrEwMdTVZMFxRgw5CB0DEwsbJzfvwLCY + pLTs/JLKuuaO7r6h0UdPZuYIYWsbGuyTAgA4ZOZz4798+R3jD4WBF798+UJ0jIZYIMur + l0Sys81AZqcejw/393S2NlSXFeRkpMRFBvt6ONvBqxAcKojxM2GwtY7cSVVDx9AU3uTh + CyFSM3OLK2qb2sEw9hgywJEYwgE0eEsK4PDj4JP1zPQ/Mf6pOOhl9j7RMBboQqLAv/YZ + yOryAgkCDvhVVUl+Vloi5PBysbcyN9LTkmJ8ZaI6BmHv4ukfGp2Yll1QVt3Y1t1LDE8X + ltc2tp/t7hEC04ABcPuZ8f9z+gAdpwELqcJI3kISAtlcX1mam+EcTbUVRQ8ykmPDA73d + HKylGDThnopB0xNuDnAlzCwREgFhsckZucWVdS2dksFRMCyurG/uPBcQ4EUkAQlwxP5/ + nTJERGLB3wkk8C6AHOztPtveWF2an5kcH+rrbmuoLs3PTI2HV7k72pgbMzWwjP/j9GWD + IC6LEFb2rt6BEfCm/NLqxvaH/SOPoMPK+hZkePkKKsCNPssIuHHKrP+3shcFFqAIJJ8+ + EshrEoQ45qYnxwYlHc215YU5aYlRwHCygRoIcTobOVUM5k9Xr9+6o6FtYGoJiKDI+HvZ + BeW1zZ2SofHJ2XlieLH/8vXb94ciAmnATFK09d/CUHxHeIWjME1IEoAgRiAIcSw+nXo0 + 0t/dWl9Z/CA9KTqEY9BMdYNF+Mk+xfzp2k0VdW0DEw6RkJZTWFHf2t0/+nh6fmmNMTAZ + 4EjwIyCcrMG/RIpTYdjfM0kAgiA5FDlWFmaZHI3VJXkZSTHAcLQ2N9TRUL11/eppPiX6 + k5qWnomFnQuUAERxZUN7z+DY5Ozi6sbO7v5LgYFiQUBQdqiPARx7euwv5EBIkMP30OPF + s621pbmpieHezqaa0rz7DMPBytRAW/3OzVN96hdcUMCfVDV0je7aOnshJgBR1dghGZqY + mlta336+RwwfP3EZuCMdM+iYuSc8PfZHeMpBECMQhHPs7+5srCzMPB7t72quKQNGdLCv + q72lib622qk+JfqThrahuY2TZ0B4/D0G0Tv8aHp+ZWPnxQHi4YPIwH5XwZwTzFb+8tG/ + pi+kEOEc79683HsOOWYnR/u7W6BGRlJUsLeL3V1jRDj3KeWRwaS4cVtNS9/E0sHdLyw2 + NbuosrGjd+QxvGmLhMC09JmFA4uGI0Yot/P0V498AZ4wDkxajOP921f7u9sbS0+fjDGM + 3PSEyABPTFQIDZWb1y7/qny2FaS4Q/5k5+ITHJOSWVDZwCCW1rZ391+/PQTDFzEcjphw + urWnvHvkW+Q4fvv88QPJsbOxPEcYzTXFOffiw/zcHeBTWjRPnTDbilJoG5hZO3kGRibe + zy+vb5cMP55FSLxgQtDqwEP62K+fYucZ3pL/Mu5Xf/xB4fEeGM82VuaejPZ3NlUVZafG + BPu42N410tVAgF/+h9IFHBSXr928o6FnbGHv5hsWn5ZbWtvaM/RodpFDIKoxL7GYlv/Z + M1j5tY/If52gB9wKchy+fbX3HGpMjvZ1NFQU3E+CTzlamZ4mBpZtrNpqkMLGySs4OiWr + qKq5e3BiemGNKcEiQokQXzPxTO8rcNCS/uU3LB6voMbS7ONhSVtdaW5aXJivqx2JoYKl + T5kYJMVVSKFLUviFJ2TklTd09I1Nza9yCGlEHPnFM9l4tg/Jfa/gVr//9ukDx5iZGOxu + rirKSo4K9HRiYty6fuVXJS4FiitYK7T0Ta2dvIKiU3OKa1olw5NPVzafH7x5/xEQikKc + zbwzfkqOgrkVTVaE8XJve3V+aqy/o748Lz0+zNfFFtOUOo9vhRs7vzCHUsdaYeeKqMjI + r2jsGpiYWdp4ti8HIfupM9p2no/JvlwO4/DNy92tlaeTwz2tNcVZiAyapvQQ3zRLKVCw + sEBsY63wCIhMziYpRibJn169+/hZUEL2O+ex7uyflX0/LR5MDcxU+8/WF6fHIUZZXlps + sLezjZmBluotpbMUzVCiQwXHpiEqOpkU8KfDT79xd5L7kbNbds5Pyn6DYSDE3718sb06 + Nzn8sKWqMDOBxbehtvptpYFBYYEZytDc1sU3LOF+QXVLz/Dk3Or23isExe9/0Got/YFz + Gnauj0t/RFDjy6dDJsbUWF97XUlOCnMpYzZLKYb3L//165Ubt9V1jCzs3f0jk7KL69ox + QS1uMCm+HIU4fsp9Liu/+uGjGIhwiLG7tTz7aLCrsTzvXkywl5OViZ7mHVq+j59KgeLq + DRUKC0ePwKjUB2XkULPLm7sv30GKP+WV+L4QRCmCUGz88dun969pmkJ8N1cVpMeFUGBg + 4aPwVkpBwW2FJS8mLa+iqXuIOdRrOBRJIX7zV4/lt/iA+GNE8eUzc6mFqVFJa00RBQbN + tdoU3gqTFGlx846mvqmNs3dIbHpBZUvPyJP5tZ09xDZzKOGLv4WNX/8OkQKx8efvv314 + +/L5xtL0eF97bXFWYrifqx0o1G7TJHVsqqXl4ibWPDMbF5/Q+IyC6lbJ6NTCOhaLw8/k + UD8UQt6n4FIUGJtLsxOYa0uykyL83ezvEsX1EylwEkUU9wuxWoxOLa4juD/IUXz9KH6r + TwhHjQWGEN5EUZpD6569hZHOOShoivr7UAyclULBo7DmyWnx/acnQUsxMKRayDwq0t/9 + ZI+i6FYVojsuvaBKiG7EBYvuHxsXIgSP7o8sumdwDlKHM6kIPzc7FhfKopvWizuaeiY4 + o6WZtlJuppWfo36IGnIQmKM+f3hz8HxjcWq0t+0sM62w6nnivDy3rLFr8NHs8hZb9f6Q + X/W+Vfye/D3yEFgvPr1/s7eDVW+kp6WqICM+1MeFnQ4qO6kVzkB06QwEp7Q5JXUd/ePT + S+wMBGe0R06jvrcechT/xHLx8d2rFzg3fzTU3VSRl0ZnINamOANRtnZfkp4N0uVFYmYh + FgwsezgbpMX7d3aFJP32kw/jX39H+iPsGuNPSHFIDoVFj020KVEBHuwCA9esimcgoGBn + 5lgwsHjHpefhImlwYnZp8/nLtx8ULi/+urEnfoOMAmdRdLn38f0r4TSqtbooE0s3Fj0j + uthTdslKV0l0B4SfDqbklNS29Y5Oza/xU3OFq6Tv41UyBDovZxCICpJiZmKgE6e0dDLo + YmNuoK2K6wsltw/YtZ4KTs3v2rn5huMCA7MUiUGRwa4wjjoVfu/Ew3nxN2QUBPFPduH9 + ll8kjeBcsDg7JSrQwxFXrBQWiicgly5ReF+/rSrcyIlJzSmta8Ml69wqpqm3uNoT7qfJ + fuc7UMi+nBjYPSm6CbLDLlg7GyvyM3D3gG7l6KizsDh+MkgU4k01S1wnRSRCjMau/vEp + 3I7aA4aymyAXP+ZK/lJGIHdj7dOH96/34U+zj4cetpAU0UE0Q+GyW/kFKyiEu2q4Ye7s + HQwximtaHuLW4NL6zt4rjqFw9Y2fVmLQhV6So2DexO/jvH998HxzBTcHe9tx7wA3chDb + FrhePfEWJ3OpW6pYvkmM8Pj0vLL6NtyRQmg8A8b7k24OfhMORQa6U/vhHZTYYnejOpsq + CzKTokgKMwO2haH8djNcim5x0h0pG2evoKgkdsu8b3Ty6fLGDt32x41apXfML3ToZX8k + B0APKSJ4SNBtWg4xPsBumqfGhiIqBCkwzyqGBQUGNolxd1CTbje7+obGYPuiqqmzHxhL + 2ILBNhLd+acgV9y9+CuOdYRCdCYSgm6ZP9+EEhODD1trS3PT4yMCPHCzWZBCyTxLV37s + Ti2732xq5Ug+hf2w6qYuhrGODQzyKshxEsfFPEsZA5wJ98uxffECm2Icoq6MNpOCvJzZ + LsxpO3t8GwbbxDrMpwIjE9JzS2qaCUPcTIIcp3GcB+SI+fSEZKDplTFACNpKWscG5TiU + qCvPz0zGbrGrvYWJHt+EOUGKS5co3ZG27MmnsMUaHJlIGE2dfSOPZmhjD3K8wUY336rn + 957F63HBJpm3f/XRMQqGIGN4+/pg79nW6iI2iwe6AVGQmRITip0ktsmqcgMbYidu3HMx + bqioaWNnz97VJzgqKSO3pLpJ2GSl3e4DxsH0EAPkGAiz7msMShCYDLTlja17pCDQzv3K + PG2xdrfUlgEiNszfg+/q3Tltc5JHBm0VI/XA0MzKwc0XGOm52CvmG94LKxvYLBY4REFY + pCsDOTHgjxGInkS7xDz94C2iendnc3Xp6dTEUG8n9onzpRBGQvLBaZkglEGBNBDseCM0 + rB3dgZGYnlNUSckHIxNTT5dWBQ5KAqE0FspiERIoFEnkBDluuficx4KYQkGZB0wHMKxR + RsvoQE97E1IPMpNjwrC/aottYi3sdp+6ac/EELJZkC/IMSITkAhSVtvcIUH+AZIoOMdL + ZEUdSvNxpKkgiiSiuYr/MwC+NrC9eiCwLBDSYWt9eX72ycRwH0sDyUX+RKg/IBDZ2kiR + +mpSjiw9SlPPyNzawc0H+SypmXklVQ2tyPAan5zhHC94Vg5AsIkvTSwSDPsqiwwAbiRN + LaJkr5f7iIfNteWF2alHo8j0aqpBwlp6YnSInwdB6GurU7raaVkgtGRgzcA8deXazdtq + HAO5RZQg9aAImWodPXCrJ4xjCxlSSM1BPgWlqbEUKYUcKW6rIIIIyP9neV4AEAngSIQg + ZEdBBzAMSjqRjwNv4ulRTjZ3CYIi+5T5iTEwDKTSXmUYughx5HlRstq9LGQN1iPRawCJ + j0gaXOHZasgZFFIGWbYjEu7INGTqsJQvMWCkh55S8ORy7mQpd28ZAhKjttaR4UUMQ73d + bY1MCEpV83FztEFMyCCU7EuKAPx/TLeU04KEYMQGEgedEeORcZTBWVbd0Nop6R8epwRO + ZA5S/iZP35QlP1JmI8t9ZDhK/hHzH5HNiUw7Mf1xH9m1O1sbyBqcm3kyMToIBqTbFUOI + hKhQf6QNUr4dQfDcqK9CXGJJd6h9obxmlsTp6ObNsmkzc4vKa8DR0z80RlmcCwxkh6Vx + yhJRWR4qMk4JhwZyNtngz5AmKOSiUmYt8mqR9iimoq4uL0KGSaTUSoihsiQ/Oz0pNjzI + 152lcFK23ZkhWGggUR4lPCw929gcXoU8zojYpLSsvGJwtHQ87BtEUjDymheW4FpCUjDl + NAtJtUpSgoX0YEoMlssL5mnBLMGZEHg2raSrlRgoDTUeWfNero48nVZI4DxtpZD3KkQ4 + nEqa3GzKsswDQiLjktLBUVZNmcE8u3lq5inlBq9JE7Tl8rNZcjYsFgdlarMsbZajTSna + L+RStOegwqOxYcpsRkZwBTGkxkeHBVKmudUFUptZhDMMIdHcgDL+nZGkHRoZnwyOQtQs + UKY5A3mMdP85kLBkeWT6C7ny0lT5V+LgefNImJfly29tbPB0eUr8nwBCX08nssyry5H2 + n5GaEBMWhPRsB2T9XyTNnDBYiPMSEpTyUPWFA9wqMAx6pGWifoSy/jkISi+EwgXK+19j + if+gYVULu7tI/xcGHlMdAyteYLULq8gtXxRKF5AnP9D7sKu9mTL+C/Oy0lPiY8KD/ZAq + b2dpbmygg6zmixSSAAPrBtW3IWVeE9U85pa2jkj8DwylQhhUYBSiAoOB9MiKSKgCZmGJ + 1ZDwEpLNzc0tceAx1ZSgWIHXkczPP4UETyaFMpLuzrbmhloU9qD6Ig3lMGGMwd76Lqqs + hET5c5ZfsBih4KDqYbEYxsTcChweVJQUw6phqJSkuq6xpY0V9Ayxgh6hnoeX8yzRWJYO + esaKeqimZxr2Ux3MCC/pQXFSfQ3VJrFKGNRYBflCB3trVkKC2gtetEBxfXxTVT6elT3m + MX5ZWiGGghjioIoYqkxKofqwwpJylIcJxVV9KA1DbdU4r62iwqoZVChJx8zM9LRQYDUx + MUblYgP9EqG8qra6AgioE0PBW3Q4qnk8UbdnbWFmpK+jJa0Su1jRHnkVzg0hB5YOdU0d + PXBYoljPnarEwqPiElPS7mfnotCNSBqbUKfX1d2DOite54Yqt/HxCfmBejde7Ea1blS6 + R6VuTbzUDXVVmemsZi+UVYg52YHBGCVJGqy06qIlYlKvEordZBy8Ys8vECCxCcm8ZA9F + h5UoOmxoam5tawcMag5RcojCPRoDGOxBH+r0yHrUHba1tjSxWj1WdpiDssMUlIKiWs/f + B9V6DiifNKUyUA2U5fJq1osJwX2My4HoQEG0iqCHmYU1VU96ePsFwrVQAJosFIACpRws + rP6Tyj9R+tkhP1AIyopAWQ1odWUFCloL8sUS0Jio8NAgVOW6u6C6mEpZUSRNDFRUdcFa + Pbkw4dEh46CCYlNUsto5EohvQJBQjJsKlKycXCpmpVpcKsWtqamtq6urF0ZdXS0qc1GR + i4JcqsfNz0NxcUa6tBwXJdKe7lT8iSpWqv6EL/HyaIHhvGEtx4CHTA5ezIoCdRR3a+vq + U1UxQBxkhdEo7EZdcTKvi84BTR6KoouKMUr4oIdFqI7Oz8t9QLXRKCdOQaF37NHSaBsr + C6oo5pW4rMT7mzAQkYyDVUezCm8OYm2L+miUeKNIPRBF6hGR0SjyRo16SipwUKB+P5NG + VlYW+/8+yrrTUajO6tTjYqkgOjQ4SCxTd7ATEai6m1dFC0H99TPYowf+hGcCB/yKOMRq + e0N0nbA42jAALKGAAQ26BaBXQEJCojgSEvActlPTAPQMCIH9/uh/4ClrGWCGhhRo4kB9 + NaiHgxgP34hBTg+s5qzzASId/SfQvEFofcCbN7hR8wMf6n5ArRvQuCEsLCxcHHgcFkoN + HHj/Bm/YTwCOYvsGNKKgPhSEcOuGrFvAN2QQOegcUdaFQg3NA3T1ZI000AaE+migDwK1 + ogCPjy+GHx/00MdHaKbBemmgNYgtujZY8FYaaEChxVRg0QBX4tWefy2mlbkW+RVxSEHg + WrwlCDRhPUEsLK2shZ4m1NEE/UxcZYO6m1BnE2psQn1NLNFAAz1aWFsTbdZDAyrw/iwc + 4fynG8qsVvLaERC0ZxEazLAGOdRghveXYe1lWHcZW1tbOz7wiJrN8CYz1GMGLXPQMEcP + XoQWM2qsxQw5Eu/X8FfWOCVmK74kD4IY4SQIE+qVg2Y/1OvHiPX6QaMf86PDzMyUOv5Q + hxzW7wftftBZhhPI9ZYBwneTQY5HCsJ8i3WOIlHIv6hxkbYOtS5C1yUDA2pfJA4800ev + Imq+xMz/z7ZeYjgchIKESFgHJnT/of5drAcWtbvS1NSiTlKygXZYrBOWOnWOYq2jlLTB + +uXc595yh/cCD/F7FO0CCaHwhmSsHxm1I4OpxwfrSibXk0zo4/XjG3kd4eUkAgpUAQvr + DYe2adRgDT3K5Aaes9el/eFYtzvqdYej8SMi4Yjpx56AhEQhFN6oT75N3zXZACAbR3r1 + 0R8C4NsvC8eMPONTAYVgTmyZSJ35sBTIOg2S/X8XAHlOMosdX/4PkOSG7A362N/RfHkU + 9pgZqviPwud+vvDzCPw8Aj+PwM8j8PMI/MAj8L+6ZzivCmVuZHN0cmVhbQplbmRvYmoK + MjUgMCBvYmoKNzgyNwplbmRvYmoKMzIgMCBvYmoKPDwgL0xlbmd0aCAzMyAwIFIgL04g + MSAvQWx0ZXJuYXRlIC9EZXZpY2VHcmF5IC9GaWx0ZXIgL0ZsYXRlRGVjb2RlID4+CnN0 + cmVhbQp4AYVST0gUURz+zTYShIhBhXiIdwoJlSmsrKDadnVZlW1bldKiGGffuqOzM9Ob + 2TXFkwRdojx1D6JjdOzQoZuXosCsS9cgqSAIPHXo+83s6iiEb3k73/v9/X7fe0Rtnabv + OylBVHNDlSulp25OTYuDHylFHdROWKYV+OlicYyx67mSv7vX1mfS2LLex7V2+/Y9tZVl + YCHqLba3EPohkWYAH5mfKGWAs8Adlq/YPgE8WA6sGvAjogMPmrkw09GcdKWyLZFT5qIo + Kq9iO0mu+/m5xr6LtYmD/lyPZtaOvbPqqtFM1LT3RKG8D65EGc9fVPZsNRSnDeOcSEMa + KfKu1d8rTMcRkSsQSgZSNWS5n2pOnXXgdRi7XbqT4/j2EKU+yWCoibXpspkdhX0AdirL + 7BDwBejxsmIP54F7Yf9bUcOTwCdhP2SHedatH/YXrlPge4Q9NeDOFK7F8dqKH14tAUP3 + VCNojHNNxNPXOXOkiO8x1BmY90Y5pgsxd5aqEzeAO2EfWapmCrFd+67qJe57AnfT4zvR + mzkLXKAcSXKxFdkU0DwJWBR9i7BJDjw+zh5V4HeomMAcuYnczSj3HtURG2ejUoFWeo1X + xk/jufHF+GVsGM+Afqx213t8/+njFXXXtj48+Y163DmuvZ0bVWFWcWUL3f/HMoSP2Sc5 + psHToVlYa9h25A+azEywDCjEfwU+l/qSE1Xc1e7tuEUSzFA+LGwluktUbinU6j2DSqwc + K9gAdnCSxCxaHLhTa7o5eHfYInpt+U1XsuuG/vr2evva8h5tyqgpKBPNs0RmlLFbo+Td + eNv9ZpERnzg6vue9ilrJ/klFED+FOVoq8hRV9FZQ1sRvZw5+G7Z+XD+l5/VB/TwJPa2f + 0a/ooxG+DHRJz8JzUR+jSfCwaSHiEqCKgzPUTlRjjQPiKfHytFtkkf0PQBn9ZgplbmRz + dHJlYW0KZW5kb2JqCjMzIDAgb2JqCjcwNAplbmRvYmoKMTkgMCBvYmoKWyAvSUNDQmFz + ZWQgMzIgMCBSIF0KZW5kb2JqCjM0IDAgb2JqCjw8IC9MZW5ndGggMzUgMCBSIC9OIDMg + L0FsdGVybmF0ZSAvRGV2aWNlUkdCIC9GaWx0ZXIgL0ZsYXRlRGVjb2RlID4+CnN0cmVh + bQp4AdVZZ1gUzbLu2bzAEpacl5xzWkByzjmKwJJzzqgoAkpQEJUMIiggKghIMIGACUGS + CCqIRAVBRQQUE3fQz+875zn3/Lt/7jzP9LxbVV1dO9Xd1VUDAPsqJSIiBEEPQGhYTJSt + kS7J2cWVhJ0EWMAN8IAHMFG8oyN0rK3NwX+9tsYBtMt8IrWr67+K/e8MBh/faG8AIGuY + 7eUT7R0K42sAIHS9I6JiAEB+genD8TERMEY9hDFTFGwgjKd2sf9vvLaLvX5hNOqXjL2t + HgBoNgBwNBRKlD8ABEGYTorz9of1EPQBwBDDfALDAGB0hrGmdwDFBwD2IlhGMjQ0fBff + hbGo17/o8f8XTKF4/a2TQvH/G//+L3BPeGD9wOiIEErirx//l01oSCz8vn5dRLilCQux + 3PUNC3wv+VD0zeAnF3z/jAj55TNYBuLwDXOwg2m7WDLMy9LqL6zpF2VoC2O4L2QdEaO7 + i+F3BvlFxFjb/0U/mBSgZwljGph+2jfa4I+eyiCK6a7PaGF6c1SsrQOMBWF8JzrOzgDG + 8IyCFpIC7J3+ktnw8dX/i45A+AUamvyWQRADY0x2x2KCfc4fHG62awM8FkIZmIEQ4Ati + QRTchgEpYA70gP5frRTwAxSYEwfzokEweA3jULhHONwnHMakv+T0/oNi+KufP9zv3zWS + gDcsG/v3mL9HI8Fj/tEZCHxg/IdOgcfY5e1aF+0RmPrPmH8kdvX9skb2kuyy7Pc/NqGE + UfIoJZQuSgOliVIFJBQLigNIoRRRZJQOSgulDvNUgSFYgDX7/7FxV39os19cUXiimmMA + zN39715/uMDxl3Tg37//wwIQOLjavvrHAgBifBPgdQCAXnhEYlSgf0AMSQdeub6SJJMw + b2lJkrysnNwu+//Ntbtn/Tb2k+2vvQhiGfqHFrUFgPoTeD6O/kNzLwOguRAAui//0IT0 + AWAoAKDbyzs2Ku63PtTuAw2oAB08Q9nh/VAAiMLvWR4oA3WgDQyAKbAC9sAFuMPzJwCe + g1EgHuwHh0EGyAZ54AwoAWdBNagDV0AzaAe3QDe4D/rBMHgKJsEMWAQrYA1sgW8QBGEh + AsQIsUO8kBAkAclDZEgTMoDMIVvIBfKE/KEwKBbaDx2BsqF8qAQ6B12EmqDrUDfUB41A + z6FZaBlah74ikAgaBBOCGyGMkEGQEToIM4Q9Yh/CHxGJSEKkIU4gihBViMuINkQ3oh/x + FDGDWEFsIgGSGsmC5ENKIclIPaQV0hXph4xCHkRmIQuQVcgG5A3kA+QT5AxyFbmNwqAY + USSUFDxPjVEOKG9UJOogKgdVgqpDtaHuop6gZlFrqJ9oApoLLYFWQ5ugndH+6Hh0BroA + XYNuRd9DP0UvorcwGAwLRgSjgjHGuGCCMMmYHEw5phFzBzOCmcdsYrFYdqwEVgNrhaVg + Y7AZ2GLsZWwXdhS7iP2Co8bx4uRxhjhXXBguFVeAq8d14kZxb3Df8PR4Ibwa3grvg0/E + 5+LP42/gh/CL+G9UDFQiVBpU9lRBVIepiqgaqO5RTVF9oqam5qdWpbahDqQ+RF1EfZX6 + IfUs9TYNkUacRo/GjSaW5gRNLc0dmuc0nwgEgjBBm+BKiCGcIFwk9BKmCV9oGWmlaU1o + fWhTaEtp22hHad/T4emE6HTo3OmS6AroWuiG6Fbp8fTC9Hr0FPqD9KX01+kn6DcZGBnk + GKwYQhlyGOoZ+hiWiFiiMNGA6ENMI1YTe4nzjEhGAUY9Rm/GI4znGe8xLjJhmESYTJiC + mLKZrjANMq0xE5kVmR2ZE5hLmW8zz7AgWYRZTFhCWHJZmlnGWb6ycrPqsPqyZrI2sI6y + fmbjZNNm82XLYmtke8r2lZ3EbsAezH6SvZ39JQeKQ5zDhiOeo4LjHscqJxOnOqc3ZxZn + M+cLLgSXOJctVzJXNdcA1yY3D7cRdwR3MXcv9yoPC482TxDPaZ5OnmVeRl5N3kDe07xd + vG9JzCQdUgipiHSXtMbHxWfMF8t3jm+Q7xu/CL8Dfyp/I/9LASoBsoCfwGmBHoE1QV5B + C8H9gpcEXwjhhchCAUKFQg+EPguLCDsJHxVuF14SYRMxEUkSuSQyJUoQ1RKNFK0SHRPD + iJHFgsXKxYbFEeJK4gHipeJDEggJZYlAiXKJEUm0pKpkmGSV5IQUjZSOVJzUJalZaRZp + c+lU6Xbp9zKCMq4yJ2UeyPyUVZINkT0vOylHlDOVS5W7IbcuLy7vLV8qP6ZAUDBUSFHo + UPioKKHoq1ih+EyJUclC6ahSj9IPZRXlKOUG5WUVQRVPlTKVCTIT2ZqcQ36oilbVVU1R + vaW6raasFqPWrPZBXUo9WL1efWmPyB7fPef3zGvwa1A0zmnMaJI0PTUrNWe0+LQoWlVa + c9oC2j7aNdpvdMR0gnQu67zXldWN0m3V/aynpndA744+Ut9IP0t/0IBo4GBQYjBtyG/o + b3jJcM1IySjZ6I4x2tjM+KTxhAm3ibfJRZM1UxXTA6Z3zWjM7MxKzObMxc2jzG9YICxM + LU5ZTFkKWYZZtlsBKxOrU1YvrUWsI61v2mBsrG1KbV7bytnut31gx2jnYVdvt2Wva59r + P+kg6hDr0ONI5+jmeNHxs5O+U77TjLOM8wHnfhcOl0CXDlesq6NrjevmXoO9Z/Yuuim5 + ZbiN7xPZl7Cvz53DPcT9tgedB8WjxRPt6eRZ7/mdYkWpomx6mXiVea1563kXeq/4aPuc + 9ln21fDN933jp+GX77fkr+F/yn85QCugIGA1UC+wJPBjkHHQ2aDPwVbBtcE7IU4hjaG4 + UM/Q62HEsOCwu+E84QnhIxESERkRM5FqkWci16LMomqioeh90R0xTPDhcCBWNDY9djZO + M6407ku8Y3xLAkNCWMJAonhiZuKbJMOkC8moZO/knv18+w/vnz2gc+DcQeig18GeFIGU + tJTFQ0aH6g5THQ4+/DhVNjU/deOI05Ebadxph9Lm043SL2XQZkRlTBxVP3r2GOpY4LHB + TIXM4syfWT5Zj7Jlswuyv+d45zw6Lne86PjOCb8Tg7nKuRV5mLywvPGTWifr8hnyk/Ln + T1mcajtNOp11euOMx5m+AsWCs4VUhbGFM0XmRR3FgsV5xd9LAkqeluqWNpZxlWWWfS73 + KR+t0K5oOMt9Nvvs18rAymfnjM61VQlXFVRjquOqX593PP/gAvnCxRqOmuyaH7VhtTN1 + tnV3L6pcvFjPVZ97CXEp9tLyZbfLw1f0r3Q0SDWca2RpzL4KrsZefdvk2TTebNbc00Ju + abgmdK2slbE1qw1qS2xbaw9on+lw6Ri5bnq954b6jdab0jdrb/HdKr3NfDu3k6ozrXOn + K6lr807EndVu/+75Ho+eyV7n3rG7NncH75nde3jf8H7vA50HXQ81Ht7qU+u7/oj8qL1f + ub9tQGmg9bHS49ZB5cG2IZWhjmHV4Rsje0Y6R7VGu5/oP7k/ZjLW/9Ty6ci4w/izCbeJ + mWc+z5aehzz/+CLuxbfJQ1PoqayX9C8Lprmmq16JvWqcUZ65Pas/OzBnNzc57z2/shC9 + 8H0x7TXhdcEb3jcXl+SXbi0bLg+/3ft2cSVi5dtqxjuGd2XvRd9f+6D9YWDNeW3xY9TH + nfWcT+yfajcUN3o2rTent0K3vn3O+sL+pW6bvP3gq9PXN9/iv2O/F/0Q+3Hjp9nPqZ3Q + nZ0IShTl11kACbcIPz8A1mvhHMIFzh2GAaCi/Z1T/JKA0xUIloGxBLgLiUFx0DQcgS8i + SchSlADqMlobPYXJwZrAMWwR30V1hfoyTSuhl/YlPYZBgRjO2Mr0ncWQtZxti8Oa8xI3 + hsedt4WPwO8rcE0ILewsUiX6VpwssV+ySxrI6Mmmy/UqIBX1lNKUb6lsqEqouarn7bmr + saUlou2kk6HbrDdlgDIUMzIx9jNJMT1jdsn8tsWg5ZTVexsaW3k7B/sEhxLHm04vnL+6 + cu/d4+ayL8Y9z6Pes5cy5fXJh9pX0E/V3zTAIdAzKCg4IiQp9FDYkfCjEcci06PSovfH + RMcGxXnG2yboJSoksSV9TL67v/BA2EHDFL5D4ND84b7U5iOVaSfT0zMSj0YfC8sMzgrP + PpXz9oRa7rG80Xy2Uy6ni8+MFmKLVIv9Sk6U3iibrkCeFam0PBdXVVbdfX6+BlcrUWd5 + MaI+/1Lz5cErq434q8JN2s17W2Kv5bZebLvfPtexc4PjptIti9uUzpiu9DsF3Rd6Gnpv + 3L1/b+z+yweLD9/1LT561n9voPlxyeDBIfdh9RHmkQ+jvU+Kx8Keao8TxxcmGp5FP1d8 + vvGicdJvinNq6OXhafnphVcFM2azyNmbc3HzKgtg4fFi4WvPN6JvPiw1L0e+lX77dqVq + 1eUd4V3n+4gPAh+m1s5+DFxX+0T8tLExtzm5Nfd5c5vpq9a36O9Xf6zvCO3swP7nBkcg + BsgJakfwIOIQM0hzZDd84h9Ce2MQmAasH04Et4YfpGqlrqOpJFTTttA9pt8gsjMaMyUy + N7Ess4mye3FUcb7gZuWx5z1Kus33UUBI0EooWfi8SL/oO3F6CTlJO6kI6eMyV2Qfys3I + f1GkU+JXVlOxIHurxqgdUT+1p0qjQbNDq0u7V+eebi8cV24ZtBpeNqoyLjOpNm0y6zJ/ + ZDFuOWu1Yr1hC+yo7YkOHI78TiLO0i4KruS9mm4G+4zdjTy0PckUWS8Rb14fFl+CH8pv + 2/9DwELgs6CB4O6Q9tD6sIrw3IiESMMoQtRYdEVMSCw5Dhv3Ir4xISNxX5JyMkPy6v5H + B+oPZqYEHHI47JRKORKaFpeeknH06KljFZm1WVezb+U8OD5y4mXuUt6nfHCK/jTfGfkC + nUK7Ir/iuJL00oKymvJrFV1nByrHz81WLVd/OL9dQ1UrVmd+MbK+5FL35bcN9I0aV4Oa + Cpvvt3xuFW1za8/t6L6+cZN0S++2T2daV9mdxu7unv7ewbvD8O7U+aDpYVVf7qPkfv8B + h8e6g5JDTEPbw89HWkfzngSP6T/lfvpl/MlE87P85zEvXCf1pmReck5TTX99tTozM/t0 + rn/+3kL3Yufrzje3lm4vd77tWuldffxu8QNmTfFj1PqtDZbNI1s/vqR/ZfvW+sPp589f + /neEmCBfePUrItIRb5CGyMsobtQJNDU6E0PEnMdqYqdxGXgyfouqjfoQjR1BipZAu043 + Rz/E0EvsZnzM9JL5AyuKjY1dlEOb05bLlzue5yjvGdJ5vhb+LoE+wSdCr4SXRdZEv4gD + CZwkTnJH6qP0K5lh2TtyjfLlCpmKsUruyoYqEmQ68gfVEbUG9cw9Phpamuyaa1p92tU6 + Kboeelr6PAYIgwXDB0b1xsdNokwdzNTNuS2AxZxlj1W1dZqNn62lnbq9oAONwwfHQad6 + 5zQXZ1dx1+97B9xK9wW6q3lQe7z0bKJkefl6i3sv+pT7uvhx+L3yrw9IDLQIEgqGgl+G + 3AwtDosJt4wQifgR2R+VF20XwxrzIrYsbl88KX4uoTrRO0ko6XVyzX7/AyIHlg7Wp0Qf + sjwsm8qQunnkRVpnehU8T0KOWWfKZzFlbWWP51w7fvJEeK5NntJJnnzq/K+n3p2eOzNR + MFY4VvS0+HnJTOly2Wb5zll8Jdc5raqw6vLzgzVQrWKd/8XS+r5L61dYGpQbra/6NMU0 + H245ca26tbNtvH31OrhBvMl/S/G2SadX1+E7Nd1jvVR3je4dvz/+kLpP6ZFHf87Arcdr + Q2LDlJHK0ekxnqdu42UTL56zvXCePDe1Ne3yanjWbR638Oz12DJ+JeY9ce3Np/nP3F/D + f7za9f/v2tJuTMAoA3CBAQBHOAe1vARAZTgAQnC9hh6ul1gTALBXBYjYHoCgGQBQzsDf + 8QMBCHCuKQ9M4Cw/CZyGs8eHYA58h1ghBcgKCoaOQRegHmgG2kHwIjQRHohDcFZ3D7GM + pEUqIt2QacgryAkUEiWH8kCdQHWh1tHCaFf0SXQfBonRxCRh2jFbWBVsAvYm9ifOAHcc + N4Hnx0fCEYmOypPqGjWe2pO6g4aRJpxmkCBDyCds03rRDtJp0DXQ89MXMRAZThBxxBTi + JmM441smf6bXzAHMKywRLJusB9mo2IrYRdivc1hyLHAe4GLjusZty73BU8prxLtJquHb + y0/P3ydwVNBQiEroiXC5SLiovhiX2GfxZxK3JWukzkk3yvTIjsotyH9ShJRolFlVeMhC + cGRTUFfZs0dDV1NPS1RrVbtDJ13XQU9U76f+C4MOwyKjg8aBJo6mJmY65poWZEsNKx1r + YxsbW2c7L/twhxTHU061zrddJlzX3ej3ybrbexzwrKWMeSN8FH2D/c75TwayBTkE54eM + hjGGO0VURC5Fq8bkxS7HGyfUJtEmH9j/9qBrSt9h3dTONNX00aPxmWJZr3KqTkTmWeQr + neYvIBVxlgiUyVXoV4ZVNV1A1vpfXLwc1ohoqrym0TZ03fvmu077O829PPdyH9I8Kn6s + MfR+9OrT9Gehk27T8jNz87GLH5ds3xaudr9/sja4fmOjcCvgi8z22rcrP/x/7R9IuN4g + AFcY7OEaUhaoAb3gFex9drgm4AhXAU5DLdAYtIlgRajBuX0qohYxCGfxPEgTZAyyEjmE + 3EHJwpl5IWoQjYHPHMno6+htjBrmAKYbi8PaYEuwizhFXBpuHC+OP4x/RiVPdZxqhdqc + ugHOfhNp5glWhC5aedo6On66Cnpu+koGYYZGojyxmVGR8TqTNlMfswPzPEs0K5K1kE2G + 7SG7NwcCjlUGnG+5TnKrcr/mKeK1IdGQHvFl81sIMApMCtYIxQobiLCLfBR9JFYtfkTC + W9JEiixtLhMumy/XJD+u8EWJTVlVxY2conperU/9vQarpq7WPm2Kjreun16ofoJBumGe + UYVxk8kD00mzdQuCpaiVgbWvzTHbWrs++xVHeic1Z0+XTNeOvcv7ON2tPY55PvDCeJv5 + 5PlO+ksGJAf2B2NCdELjwprCX0fyRblGl8ZMxDHEWyecSHycTLPf4sDxg48PEQ87p1Yc + WUnXz6g5hs+MyFrM8To+lxt2Epdfc9qpgK5wqLiwNLBc9yx75ceqkfM3ai7XXa3vuvy0 + Ya2JuUWx1bk9/vqZm1du93QNdb/qfXfv20P0I/oBzkGhYfFR6TGFccVnmi90p0ymbWdc + 5+wXVF4T30wvn1nRXZ19H/Nh82P0+sKG9WbbZ+YvEdt930jf4370//I/BHCAA17/lnBF + 6ThoBKNgE+KAtOCYkgNdg6YQWIQ87PmjiBb4bEGL1ERGwHWaCRQBZYBKQd1EbaPJ6ET0 + bXi1m2JOYaaxkthk7ABcN4nHjeGV8CX4HaoAqifUutQtNKLw+YOLUEpLor1Ap0DXQ+9A + v8KQQeQn3mF0Z/zJdI5ZD17bp1i1WFfZytmtODAcXfC61uRGcD/kyeY1JzGRZuCYlCsQ + LugqZCKsIUIWJYspi6tKaEhqSmlIu8sUyw7LIxWkFe2V4pXPqDSTR1XX1Tn3GGskabZp + 0+qE6g7rqxnUG7Eb55vSm+VasFietZa26bYzsm9wpHWKcX7qumdv3T5G91SPLUqk1zuf + CN9t/6xAjqDaEPnQa+EqEW1RCtHXYiXjKhNYErOSvu8PPzCdYnXoYeqeI+fTNjL0jxYc + W85Szc7JmTtBzs3N+5DvcOruGaWC1iJy8aNSr7KdiopK2XOd1fbn39dk18lcfH4p7Ypk + w9jV+GaGlrpWpbabHZrXr9+UvHWuU7yrvzukl/Zu432LB0t9Kf3sA82D+kOTI5FP8GN1 + 4zoTc8+PTIpM9U47vpqd9ZmbWNBcLH+9tCSy7Po2eSVvtfxd0fvsD9FrDh9l1tHrI5/K + Ntw2uTafbZ3+bPr585cL2zbb37/WfrP49gnOOtR/TP/s2/V/tJ+C/G70ABCNLlx+nN7Z + +SQMADYfgB8nd3a+Ve3s/KiGkw34G8idkN/fK3aFMXDNvezKLroXUrn7+LfrfwDOforH + CmVuZHN0cmVhbQplbmRvYmoKMzUgMCBvYmoKNTk2NQplbmRvYmoKMjEgMCBvYmoKWyAv + SUNDQmFzZWQgMzQgMCBSIF0KZW5kb2JqCjM2IDAgb2JqCjw8IC9MZW5ndGggMzcgMCBS + IC9OIDMgL0FsdGVybmF0ZSAvRGV2aWNlUkdCIC9GaWx0ZXIgL0ZsYXRlRGVjb2RlID4+ + CnN0cmVhbQp4AYVUz2sTQRT+Nm6p0CIIWmsOsniQIklZq2hF1Db9EWJrDNsftkWQZDNJ + 1m426+4mtaWI5OLRKt5F7aEH/4AeevBkL0qFWkUo3qsoYqEXLfHNbky2perAzn7z3jfv + fW923wANctI09YAE5A3HUqIRaWx8Qmr8iACOoglBNCVV2+xOJAZBg3P5e+fYeg+BW1bD + e/t3snetmtK2mgeE/UDgR5rZKrDvF3EKWRICiDzfoSnHdAjf49jy7I85Tnl4wbUPKz3E + WSJ8QDUtzn9NuFPNJdNAg0g4lPVxUj6c14uU1x0HaW5mxsgQvU+QprvM7qtioZxO9g6Q + vZ30fk6z3j7CIcILGa0/RriNnvWM1T/iYeGk5sSGPRwYNfT4YBW3Gqn4NcIUXxBNJ6JU + cdkuDfGYrv1W8kqCcJA4ymRhgHNaSE/XTG74uocFfSbXE6/id1ZR4XmPE2fe1N3vRdoC + rzAOHQwaDJoNSFAQRQRhmLBQQIY8GjE0snI/I6sGG5N7MnUkart0YkSxQXs23D23UaTd + PP4oInGUQ7UIkvxB/iqvyU/lefnLXLDYVveUrZuauvLgO8XlmbkaHtfTyONzTV58ldR2 + k1dHlqx5erya7Bo/7FeXMeaCNY/Ec7D78S1flcyXKYwUxeNV8+pLhHVaMTffn2x/Oz3i + Ls8utdZzrYmLN1abl2f9akj77qq8k+ZV+U9e9fH8Z83EY+IpMSZ2iuchiZfFLvGS2Eur + C+JgbccInZWGKdJtkfok1WBgmrz1L10/W3i9Rn8M9VGUGczSVIn3f8IqZDSduQ5v+o/b + x/wX5PeK558oAi9s4MiZum1Tce8QoWWlbnOuAhe/0X3wtm5ro344/ARYPKsWrVI1nyC8 + ARx2h3oe6CmY05aWzTlShyyfk7rpymJSzFDbQ1JS1yXXZUsWs5lVYul22JnTHW4coTlC + 98SnSmWT+q/xEbD9sFL5+axS2X5OGtaBl/pvwLz9RQplbmRzdHJlYW0KZW5kb2JqCjM3 + IDAgb2JqCjczNwplbmRvYmoKOCAwIG9iagpbIC9JQ0NCYXNlZCAzNiAwIFIgXQplbmRv + YmoKNCAwIG9iago8PCAvVHlwZSAvUGFnZXMgL01lZGlhQm94IFswIDAgNjEyIDc5Ml0g + L0NvdW50IDEgL0tpZHMgWyAzIDAgUiBdID4+CmVuZG9iagozOCAwIG9iago8PCAvVHlw + ZSAvQ2F0YWxvZyAvT3V0bGluZXMgMiAwIFIgL1BhZ2VzIDQgMCBSIC9WZXJzaW9uIC8x + LjQgPj4KZW5kb2JqCjIgMCBvYmoKPDwgL0xhc3QgMzkgMCBSIC9GaXJzdCA0MCAwIFIg + Pj4KZW5kb2JqCjQwIDAgb2JqCjw8IC9QYXJlbnQgNDEgMCBSIC9Db3VudCAwIC9EZXN0 + IFsgMyAwIFIgL1hZWiAwIDc4MyAwIF0gL1RpdGxlIChDYW52YXMgMSkKPj4KZW5kb2Jq + CjQxIDAgb2JqCjw8ID4+CmVuZG9iagozOSAwIG9iago8PCAvUGFyZW50IDQxIDAgUiAv + Q291bnQgMCAvRGVzdCBbIDMgMCBSIC9YWVogMCA3ODMgMCBdIC9UaXRsZSAoQ2FudmFz + IDEpCj4+CmVuZG9iago0MiAwIG9iago8PCAvTGVuZ3RoIDQzIDAgUiAvTGVuZ3RoMSA5 + ODQ0IC9GaWx0ZXIgL0ZsYXRlRGVjb2RlID4+CnN0cmVhbQp4Ab1ae3xTRfY/cx+5N4+m + SZo07yZpmqTp+0ELpYWG0pYWaCktYIsU2kKhIKwVaxVX2KqgUJGfgDwE1xVUniKhIARY + WBZR5Le7gq5PfrqrK6i7a1f39wN3F2nyO3NTaunH9cMffvbenJlzZubOPfOdM2ceNx2L + 72mFGOgCFmqmN7fPBelKugJAumYvam6PynE0OjO7s8MZlflkAHbh3PZ5i6Ky+CSAwj5v + 4ZL+5+O+BNA83dbaPCeaD9cxzm/DhKhMhmGc1Lao476orDuE8cSFd87uz487g3Lyoub7 + +t8PH6Ls/EnzotZo+aQLGCe133l3R7+8EuOK9sWt/eVJPep3HgimxsGdIIc7QAAGNHg3 + AghfKOzAYS7Nx2tOmnL9rNiiq6AVJXlW1X9J8WuuX777z9brPuVa8V+YIL9RnsYyf9gP + oCKY36tcO5AjPYdBXAjqUkNQiVSMlIeUmjrGBF1kBzyB9CwSC/PJY7AEaRXSU0jcALcb + paPksR5ODBwjS8BCxgeUnGOK3uwwKZSOt0JEdugZxwemT48TM/beJ8TcEwPyMQryLPkF + zAEHeQE85H6ogGSy5aB/oaMJs3ZDO1IXEiuFhOzuSchxnCRp4OEIPuOFBI4cdnyene64 + nB1iSI/jtC/EYfTrBJQCsY5T9mccv7LPc5xE2hvN2uPHEocdu+0LHesTQmRLj2OdPUTw + mbXR6B47PnrYsci/0TEnW8qfuDHE7O1xFGD+tIDSkT/C5cizX3Jk+kIiQTndPtGRkv07 + RxI+iMWcWKknoHXY7OsdIzErwV7mG4l0nOwhWyGFbO3xjHccQxabe7DSP2JjiPz0YEVy + tidE7g/kVyRv9Ff4PP6JDo+/3OdDftrrwnLhdmGMkCOkCsmCV3AJVkEv6kSNqBZVokIU + RSFEXuwpdsiOk71QjLDsPSjKRD5EXsJE7jjZJyXuOyJyIiOCqA9FPkbjJaAPkb2HNJRD + 5rBM4mQhsu9gNGlfwMFRjpMyNAzlMcAQGCIyMB6C5PGQDFbEdxabinWjtQXlpf8uaJJy + boSp//4yEXtw44S6+uAee0MwhzIRe8ON4qYbzL+NO+7BrNaS1NQJtUsOdrYvmFvW6i5r + cpe1IjUFH+tsMwW7WpzOAwvaaYYzyHqbWma30bi5Ndjubi0NLnCXOg90Ss8NyZ5Lszvd + pQdgbtmU+gNzA62lPZ2BzjJ3c2nDwZaSxY03vWvVwLsWl3zPu0poZYvpu1qk54a8q5Fm + t9B3NdJ3NdJ3tQRapHfRxpfNryu5uwOt01k2f4IzmFwXrJw8vT7obG4oDZEdmFh6D/Cn + QMOfgGS+CyxcJjgAIh8gXaRxeGrkM/4saMKLIn9nC7FTj1JiwsVFcAoeh62wH2SwC/lk + mAmb4RxZgGN7BhyCd0kCZKDv5SAEE+G3JBJ5E+bC81i+A07DBjgAKnxmERgwdw3xRO5H + OYB8CyyPbIckGAGPwAkowFrXQG9kd+Qg5tbCVNgDe/H53xA3c4CLi7wUuQQiTMY6l2PO + m5GJkf2ggzQogRpMXQ4niYe9GGkDExSidk/DL2Ab/Bq+JA+RQ5G2SGfkQuQTNFUT2KAO + 76XkEPmE3c89Enk68pdIGJFIhhR8axOsh+ew/v14n0LXWkbuIB1kPdnABJiHmEPcCt4Y + 7kMc/DAO7wr0yisRgaNwBv4X/kW+Ykyshu1gX43kRf4PlDABW0lb0gqdeD+K9xps03Ei + I1lkLKkhS8mTZAP5PZPCTGXqmXuZ+5jP2Gp2BruE/T13N9fDr+Y3y5Thq5HjkbORd8AI + drgdFsMybN1puABX4BphsS4b8ZBCUkJm4t1FtjJHyTZylKkhp8gFZg/5I/mUfEW+ZXhG + xRiYVKaDWc/sZU4zb7Dz2Q3sU+wf2avcaJ7ht/GXZR7hf8It4VXhNyKFkU8i/0QXK4IL + e6YEqmEWNGNr22EY/AxbsQ/v/dhrZ+BVOCfdnxIb9MI/EQUgOmIhOaQK72oyicwl88kz + 5BjeJyVdvmGwIxg5o2WMjI2pY1qYRUwX8w7TxVrZFHY8O53dj/fr7Lvst+y3HM/FcQZu + HFcJq7lF3Ba8d3C7uB7uPF/Aj+ar+Wl8F7+KX83O5t/k35Utk62R9ci+kn2NbnGicKew + GnvnHNrsr9GWv7s4koTa58BPYDYpJS2wEXtjG2mGbrSuOWQl4tUOyZFGdhk7jslCazgJ + P0Vr3QJLYRU7A7ZF3mf3wHtoKQuxyi7YyZWAnd+EvfMQZKEV9d8Bf4o/2ef1JLkTXU50 + +TarxWwyxhv0cTqtJkalVMhFQcZzLEMgrcxd3uQMepuCnNddUZFOZXczJjQPSmjCoewM + lt9cJuikzzVj1k0lA1hy7pCSgWjJwEBJonEWQVF6mrPM7Qz+rtTtDJHpk+uRf7zU3eAM + 9kp8lcQ/IfExyLtc+ICzzNRW6gySJmdZsLyzrbusqTQ9jRwNIByK9DTqOAKgpBUHYWzz + UnSwMJaWKAta3KVlQbMbecxjPWXNc4I1k+vLSq0uVwOmYVJtPb4jPW1+EPWEx1Rz3HMe + CwWgpYlyzTPqg2xzQ5BponVpU4NGd2nQeP9l03fiDa5s9aDMIOMpb27tLg8Gmh5DcKnY + RKXm1ShNqHNitcyKhvogWdGvBNVxAWpK1Y3OCZ6mBc6g3F3ibute0ITgQm19jyVgkZxv + EGrqe8wBsySkpx01LSt0YeuPpo9JH0PjQpdpWTT+/OFo+lunaGxaduZjjCfUDgBAKALu + StQz6JwtvcSNyo6gQesI6J49AnHCq4FgM+ejPmODDNoM6wnynsrmYFfdDTXaSqPKNS0o + 7ZGbLdIkVNKA5Zu6NSOxp7C8xu3svoqzdZO798ubU5r7U2QezVWgmbSjB2wlSJpv8J10 + svRgq9tM7jbav51Sn6LsNpUNSkCZQkN1DupxAq+pdwWdDZiAq8m0CSGQ19QfIGRNQ4hE + VoSg1H4U16jsrJmYnUZNbX4pvh+F9DRMSHEhl5HmLMc3l1NbcXY7uyvndDvLnW1oTJxH + ijGjtbshExGsq0ecYAq+MdBgHWBbGxpGYj2ZtB58BIt3N2ANC/prwFhKyuzDQllpOJmy + 3pr6yfXBrlJrMFDagL2A5nuqpj54Ci23oQFLZQ9oihovnW/q1zkHdc5OwfzcaC24dunC + Khq6u2mddfVuV/BUd7e1m463qBwiMDQh0J8QAlqEQh4iXTX4LEZul1XqA5fbhWo1UEyH + oUnfsChcs/8wwvkDeuOTw1HbfAnhET8SwgW3gvDIW0K4cEDTmxAuQp0LKcKj/nMIj74J + 4eIfRjgwoDcqOQa1DUgIl/xICI+9FYRLbwnhsgFNb0K4HHUuowiP+88hXHETwpU/jPD4 + Ab1RyQmo7XgJ4Yk/EsJVt4Jw9S0hPGlA05sQrkGdJ1GEJ//nEK69CeG6H0Z4yoDeqORU + 1HaKhPC0Hwnh224F4fpbQrhhQNObEJ6OOjdQhG8fQDhgDcJgP9w1xO3Cj+6YZwyCHFdK + vA5KmAKMz8J0jM/JCqCGnwZN3N1Qi5vsQoxHIFVgng3jUbI9sBxjSjSvk9kDqzDPiHwX + VnfjHEiFu5PDKDthGt2W/wgXM6gOdhCPSkoXPyhtKCvDBAF3EXJQ4H7ou0uF5z1qiMUE + PEkALe7k6DUM7zPwIZnOeJmv2cXsH3Clf4V/WmaSdci+FGYKzwtXxGrcPJQAcBdwH8ti + 3cXRMyoxExcSSKImBHABicrIsx+GgEMC5IUP4Rg+ATAt9RjWwmOclZ2rdWl9SCXcmtD1 + P/Enro0NcVXf4nkHlpgeucjfxV/GlX0CHAgUWvlNZCPPOoiDe4g8yq+K4+tE9hG7VmuQ + jbSzqpEGeQKTkGBms5lCTbbW4pRnm80O5zbXgrl4SlF9paq3WvNNVe+VXl1BJhQX9xZT + RtObnTV2SWAk2IyeOK/aY/Uq4+U5EKPX5BCdNlYj2FDigc0hhOFYhUmVA7E6DESLLIdw + BAO69yeaIk1Ramo0pAkPNpJGkRjdGcSdCFqNLjdneP7wXJkgczl9Xq1meL7LzSWQYdrT + rld7Pghf/ftXH949KuG0Zd3+8HsReOnyi8fIuGT+cvji8TU7wufDr4bD4V/tblj7xc9P + bP0deZGUXfgT4kNwZwVkndQPRnoyeEwyuIxUPAeg8DOZWdlxuVr3uXPnKKzYWzWRd/gv + EM9YsOI+vDuQ9iga/1nyCvO6eE4hGysaRsay1pGC3MbYbEpdNmtJMGUrzfaE94dAOACg + hF0OWGK8xCP38N54tSkH9KDLIRYROY0MOaPKkEPiGAzMCmsOaDkMJMxoQK8H8TTVGK/V + CEw/ODoX6PI0QJHT61wst/X4up1nwhvC+07ve/IkHgdY/xr++18vhT/+BzGo+cvXXglf + CB+5GIGP3yfjScrbRHNtO1lyFbfmReGz4fNXwgf4mWhLTZF3uG+w7Zm4RwwHZvpjfW6v + N1+d5xrnbfHer743SX6HaFIbPUyDuk29J5FVqEcmJiUqWM5mekSfmZlqG6lnuZGp8ixG + oRa1SYmO5KwsrcljrBQ9yZYch0dbCZ5Mc3bOs64Fq/rN7cogg9NpCwooDTI8rc5YkNGX + 23iXhGJVcobWASKOPG+6R+axeNk0SIX0DCniU8RUYo9zpILVYEolZhNJ51JB7lOmEo+S + ZCAv+DFI0NkwMx4DCWGNRrJMijG1Tno9+CCiTeKN8dQg84b5vJnE6/PmDUvKzeEMbmTd + iTKD3hjvoGUMes6N5jqckARh2Oxr7TN6JkzcfvaVyauJ7tvPydjjsdm3XwxumV544Y0N + k1eHf/7X8N+2bmWZKnJxafU65+hn78vN8aSn5c048lr4j1c7i+9+smVhjjMrM7Fw3pkr + b61+7G8cOiQWaiMfSqcLsXhuVAQfBUakZBGFRmlV2Xy5FZr58gUaoUDUqeSsNUdIkts1 + KnthKpPhLzxSyBTmpHh0GoEXbb5Eoy1EugNuo90h+OwZSsaepywSiopsesGfsivJMtrq + t42P9Y0wjxr9S7IJD1WOko1wUzdd6jszYNbFvegaaPc0anUFGb0ZvQRjrbFA6qjk/OGG + RCBmD8mPdYEpweqCeKfeRVyJMJxxgcVudBGDCwPaB+gYvoO9MUmCfRRRk1iCvsBA8mkn + IOaCTHCPJrk5iLlWj4XwFWr0HD6vj0bYP/nD44h6cfWsho2utpxFLdl15NBog+rh+x8v + dCl28f947kTnPUaPKkGbkuZtTImXD3/jgQ0njm3qPj89rXLHWoNNpo6xZc4jC8U0U/qM + uokpda9trajY3LfJlsiyK1SyEnegYsHLKzc8H0cuUb+Lp0/sBa4aLOgndgYyd5rJZtMu + cY+JHS9qt+pZVi+zW4QYu15pFaxWo8anI6yP0VrsCp/RbMMjeeGga/HS73xuUVVvQcH3 + ed1hYBY9KoPCC+o4jTfqb80oob91Sf5WGR/jRX+Lgdwk81J/6/oef0tNuhHio94WYY0i + mEuhY9CP5ArMu58a92sWL3txfNbKde0Pm/cnfH38rWtE97aNqw6+N/vhXYue3fbhqnvf + eZXkfoZHZyN5xGBE5CLby5/GWdMO9wZyhqvHqW9T7+R2W3mPqGdi7RoQ7XYhTsHYjUo+ + Iy5D49fqLA6lz2JOcDzqWlwyuPl9ly4Bzjf40xZoo1ZkMdnkCiDEpMS22TAAM+MFhVX0 + YgPxJw1UHTUFyUBkBnSRRi168zzaLMgbpsv9Zt22pdt23L9yN+muyxq1b3vxi3ceDF/7 + 6g9k1hfvnfvNKxf+mxk+LGECY782esPsepJ+7S/kNhxvFZGLnAVP82x48ushqsCSTeJT + lp0OllczsbzeoNbFGvQBVUAv+i1kgvIwe5a8xp61vi9+IH/X8b77C+MXbuVZ7VkdM0Pk + XUmxW+LtSQUyQYh32W2Cwh6v9AibbDttR2zv2ThPfKzHxpsVKkGr9sXafbzFl5Qh+Mxm + r+9t147GKEB9l6RJ+e2+Al0BDjl0kgWZjQNOsqivSNOLqdLAKwc3x7N4VEp4TubAWVSn + idPoNZxM5Um0JnlxxWX3kgS73Ch4QWlQe0mM2m1xYRKPgWhCu4rRYBCdfKLTNY7NlNSU + B8ldjXBXIzUh6vVcCTgS6XStJjguZdIEDrnUT6JzFAhz6N0R+TrN9a/4JzY9PiVLf0CY + lF27ZEzt6+G/ENOfiEOZPH7fA7t44ubG3TF18sLx2597tTF/XOHajBqbhrjxvJghJWHv + PeUPHewm9IMkTto2HHRG/i08Ya4KpAp2mcLOklh9QXyMTKcwG40WdYzWb9QJuli1Q82o + r+vNJvN117xl/Qg2FpzJpEBVl7WWfobQFVX1FRX3vo0LG93w/NyceKMhA01GZsg1uLV4 + 5+XmvewuPqRNMtrMylpnz6GeDRv4kmEzGOZ5hkx9ac31OezTa3ahXiyMCheyX6CtOCAd + vzAcCVTl6yvFSnm92CBfqdpt3WXf7duRetSqDIhsfKJffUaRiO6Xk/ntZoXOrojNEDIy + eBubEZ+R7uctWSq1L2a012czZ2YNGiBXeguoBfRduor93L8uw5EidXu039PcyZYEpTbJ + o/G6E7xeSLZgoFWqXRCrVsV47Ile4rP60U+ocOHQ73T75ztpFNGRk5er1ePiK9Hry+2f + /CTPmqRF9wCSA5a8BrpjwjwwMzdvR1F7+Ny+L9VHYnyjHj4f8LL5m5e+FP6WCMdI6fM/ + O1nuWf/A6Ulp4Te5ktHusY9ez/lt58WtL1T4itZN+6i25h/ETmJIRnjbqZ5ZW14+sX/2 + ciZd6ufl+MXXw40DL6wIFAqioJbFGkWj2hjrE304RCrM05TzlCq3R2Gxu80KhjN6XHaj + PUYmgMxq87BximQ0Bq0fPxKSHouffhsNoA/J8GDjzb7kEIk56GqJOh60gUuaK71X+vqN + wlhE7aIXx5KxgE5mdEhBY1yuAWcgOu0Yb8w+bi2dj2QGtBRcHUS55T2BYQ13dVWnJRVt + b32/OuX4HVULnjpi8bfP3XmIy9w8KWlUcVL5tLqnp6zpG858cUfNmh19a5nji3ImPHO+ + 73U6n2C72V60IzPOKDMD2UdkZ2UMJ9PLfPpOWYfA61WM3qSx89hMk1JhESwWUPnlFhvJ + MPnNYLbi1C67qWWSy4haC7arF5dVJNogQps0qCm0BTiG1QTbQ5bvnbin7VJN2hF71rKA + f/yIdOshshP1n1n7i9u2901mnmspmhMTX5J31/y+86gs2n9h5APOhfOgCr9bmeGJQO5m + caPmqfgXuF3iDs3u+JD4uvged1n9Z71qpCizmwSVXac0C2azgfHFWqxyn8FssYaIHGfD + fm8XXRAO2Lnk1tJwC+lVxsnRM2kZLxGMyPExyCn0Ki8QDQZiPE5+rBoDupiQArpqTtLR + FRztI5zxdLjNYHANEp3wPl6RNfHYCxs3PocfB6+H//FR+DrRfS7rILE7Ns588nrP3kvs + xfCX4SvhvvBLJPU6LkgCdM7rDE/lPNh0NSRCRyBtt7jTyCSLTptWLbMbhFiZ2m5TJqoZ + n8mSpMjQZLj8ibFmd9KjrhPR5lGji7pzyYHTjul33bZ4K/AWL+cFKzaMj8eAmNVeYI1S + m6QW0ZVpkrQmpX1GF6G5pN8+8YMN9cO4HNK6mdd2esqPHS/zYBjO2J8fuP2nh8NHOrYs + qc0qPLTk9291zThwfM6WB27bwR5YU5lcFP4ztnH7xll5CZV9H1FfuwoNch2OQS1MCnh9 + rDdmODuO49SihlHLtXKVT6RmqFWIljhC53Qw6+JCpAzNL+puaRurNdTNVhWf6TtDZyw6 + nvpHEzW9AX+rda/aa3j+Dt5k11g1K9fhUDmav5VhT7LM/sV9m6kuxshXjJyfjtZV+3JM + huKUmoRIccDDxRcYWZlaobXgcMcvjH4wqA2xrINl2OvxZrMFfX//6qrvZt+fGXX+vZq+ + S9lZuPWjHp/ieGM96c2j7n/X4b17vYbsmAS9Y6xv2fS1a/np4XfW95WNiFMSZo1cfHAe + 8+p69FcMdEU+xTOASpyXTDhuR4b0r+sZeZyoN8eZ9cmye9n3BEEEXq0AWYyCR9s3CSYT + LtkyFH6V0mIhfqrsWzdckrT9pvAN+PniIjrjU+hII4kqig6YLnKGS/Mubky0HjLCkvXw + L0s9h/Yw7mHz1l+uSyf7ucy+gtphTbum/5xRf/vmM6NSpjxVu4p530J1lq5IK37z/b6L + /gWJRf+bhl8f86EUyqWvyJX4xwn6FbsWv0xPhdugHksRPBOJnt/I6BnJmNLKqikNqRWt + CztbO+bPbpZK3HjDFGSakNqRupCeQHoWKYh0CukC0sdIX9NqkTRITqQspABSDVITUnuk + /wK8BngCziHy2CEyOqubyk8aItcNkan2g+ufPUSeM0SWsBykz9wh+fOGyNL/uQaV/8mQ + /DuHyO1D5MVDZOn/WYPqu4fm/z/SOhDMCmVuZHN0cmVhbQplbmRvYmoKNDMgMCBvYmoK + NjMxMwplbmRvYmoKNDQgMCBvYmoKPDwgL1R5cGUgL0ZvbnREZXNjcmlwdG9yIC9Bc2Nl + bnQgNzcwIC9DYXBIZWlnaHQgNzM3IC9EZXNjZW50IC0yMzAgL0ZsYWdzIDMyCi9Gb250 + QkJveCBbLTk1MSAtNDgxIDE0NDUgMTEyMl0gL0ZvbnROYW1lIC9BRElNVFkrSGVsdmV0 + aWNhIC9JdGFsaWNBbmdsZSAwCi9TdGVtViAwIC9NYXhXaWR0aCAxNTAwIC9YSGVpZ2h0 + IDYzNyAvRm9udEZpbGUyIDQyIDAgUiA+PgplbmRvYmoKNDUgMCBvYmoKWyAyNzggMCAw + IDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAg + MCAwIDAgMCAwIDAgMAo3MjIgMCAwIDAgMCAwIDI3OCAwIDAgMCAwIDAgNzc4IDAgMCAw + IDY2NyAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDU1NiAwCjUwMCA1NTYgNTU2IDI3 + OCA1NTYgMCAwIDAgMCAwIDgzMyA1NTYgNTU2IDU1NiAwIDMzMyAwIDI3OCA1NTYgXQpl + bmRvYmoKMjAgMCBvYmoKPDwgL1R5cGUgL0ZvbnQgL1N1YnR5cGUgL1RydWVUeXBlIC9C + YXNlRm9udCAvQURJTVRZK0hlbHZldGljYSAvRm9udERlc2NyaXB0b3IKNDQgMCBSIC9X + aWR0aHMgNDUgMCBSIC9GaXJzdENoYXIgMzIgL0xhc3RDaGFyIDExNyAvRW5jb2Rpbmcg + L01hY1JvbWFuRW5jb2RpbmcKPj4KZW5kb2JqCjQ2IDAgb2JqCihNYWMgT1MgWCAxMC42 + LjggUXVhcnR6IFBERkNvbnRleHQpCmVuZG9iago0NyAwIG9iagooRDoyMDExMDkyNDAw + MTE1NlowMCcwMCcpCmVuZG9iagoxIDAgb2JqCjw8IC9Qcm9kdWNlciA0NiAwIFIgL0Ny + ZWF0aW9uRGF0ZSA0NyAwIFIgL01vZERhdGUgNDcgMCBSID4+CmVuZG9iagp4cmVmCjAg + NDgKMDAwMDAwMDAwMCA2NTUzNSBmIAowMDAwMDU2NDI2IDAwMDAwIG4gCjAwMDAwNDkw + MjQgMDAwMDAgbiAKMDAwMDAwMTg1NiAwMDAwMCBuIAowMDAwMDQ4ODYxIDAwMDAwIG4g + CjAwMDAwMDAwMjIgMDAwMDAgbiAKMDAwMDAwMTgzNiAwMDAwMCBuIAowMDAwMDAxOTYw + IDAwMDAwIG4gCjAwMDAwNDg4MjUgMDAwMDAgbiAKMDAwMDAwMjE2OSAwMDAwMCBuIAow + MDAwMDAyOTUxIDAwMDAwIG4gCjAwMDAwMDM1NTcgMDAwMDAgbiAKMDAwMDAwNDEyMyAw + MDAwMCBuIAowMDAwMDAyOTcxIDAwMDAwIG4gCjAwMDAwMDM1MzcgMDAwMDAgbiAKMDAw + MDAwNDE0MyAwMDAwMCBuIAowMDAwMDA0NzA5IDAwMDAwIG4gCjAwMDAwMDQ3MjkgMDAw + MDAgbiAKMDAwMDAwNTI5NSAwMDAwMCBuIAowMDAwMDQxODAyIDAwMDAwIG4gCjAwMDAw + NTYxNTcgMDAwMDAgbiAKMDAwMDA0NzkyOCAwMDAwMCBuIAowMDAwMDIxMzk1IDAwMDAw + IG4gCjAwMDAwMjQ4NzUgMDAwMDAgbiAKMDAwMDAzMjkzNCAwMDAwMCBuIAowMDAwMDQw + OTUzIDAwMDAwIG4gCjAwMDAwMjQ4OTYgMDAwMDAgbiAKMDAwMDAzMjkxMyAwMDAwMCBu + IAowMDAwMDA1MzE1IDAwMDAwIG4gCjAwMDAwMTMzMzMgMDAwMDAgbiAKMDAwMDAxMzM1 + NCAwMDAwMCBuIAowMDAwMDIxMzc0IDAwMDAwIG4gCjAwMDAwNDA5NzQgMDAwMDAgbiAK + MDAwMDA0MTc4MiAwMDAwMCBuIAowMDAwMDQxODM5IDAwMDAwIG4gCjAwMDAwNDc5MDcg + MDAwMDAgbiAKMDAwMDA0Nzk2NSAwMDAwMCBuIAowMDAwMDQ4ODA1IDAwMDAwIG4gCjAw + MDAwNDg5NDQgMDAwMDAgbiAKMDAwMDA0OTE4NyAwMDAwMCBuIAowMDAwMDQ5MDcyIDAw + MDAwIG4gCjAwMDAwNDkxNjUgMDAwMDAgbiAKMDAwMDA0OTI4MCAwMDAwMCBuIAowMDAw + MDU1NjgzIDAwMDAwIG4gCjAwMDAwNTU3MDQgMDAwMDAgbiAKMDAwMDA1NTkyOSAwMDAw + MCBuIAowMDAwMDU2MzMyIDAwMDAwIG4gCjAwMDAwNTYzODQgMDAwMDAgbiAKdHJhaWxl + cgo8PCAvU2l6ZSA0OCAvUm9vdCAzOCAwIFIgL0luZm8gMSAwIFIgL0lEIFsgPDBhODFk + Y2YzOGY1MWY5NjBiZDllOWEyNjM2NmI5ZTkwPgo8MGE4MWRjZjM4ZjUxZjk2MGJkOWU5 + YTI2MzY2YjllOTA+IF0gPj4Kc3RhcnR4cmVmCjU2NTAxCiUlRU9GCjEgMCBvYmoKPDwv + QXV0aG9yIChTaGluamkgS09OTykvQ3JlYXRpb25EYXRlIChEOjIwMTEwOTI0MDAxMDAw + WikvQ3JlYXRvciAoT21uaUdyYWZmbGUgUHJvZmVzc2lvbmFsIDUuMy4yKS9Nb2REYXRl + IChEOjIwMTEwOTI0MDAxMTAwWikvUHJvZHVjZXIgNDYgMCBSID4+CmVuZG9iagp4cmVm + CjEgMQowMDAwMDU3NjE5IDAwMDAwIG4gCnRyYWlsZXIKPDwvSUQgWzwwYTgxZGNmMzhm + NTFmOTYwYmQ5ZTlhMjYzNjZiOWU5MD4gPDBhODFkY2YzOGY1MWY5NjBiZDllOWEyNjM2 + NmI5ZTkwPl0gL0luZm8gMSAwIFIgL1ByZXYgNTY1MDEgL1Jvb3QgMzggMCBSIC9TaXpl + IDQ4Pj4Kc3RhcnR4cmVmCjU3Nzc5CiUlRU9GCg== + + QuickLookThumbnail + + TU0AKgAAByKAACBAAkQUkLeELeBwuGP+HQyIRAAxOIxWLReIvONAB8x2MR+QSGRSOFge + TAAGymSSuLw5/yCJgGWTOaQSDQlbvCdAB3T0APWgAAC0OhUQB0eOR5+0sATEABeoAAI1 + OmxSawJ91kAM+uAAM1+r2GxSB0WUADC0UUC2KXAB1W+eT5/XMAAS7ACTAcAUt+gCsvsA + PzBAAE4UABPEAAIYux1eDEhL5Fbx18gANZcAAzNABp53M5sF6EAPHSAAR6cAPrVAB260 + AOnYAAX7O63eZzp4ABubsADTfY3gcEAM3iAASccAA/lTS5v4ANfocnl4sIc/o18MgDcS + iVaEFgCNPMABTyABzecAUcB8bkcKQkH4FdKfNUCr7Q2Hr39AB2f0AArAAAHlAYACdAz0 + qQha3nUAB3wcAATwikkBnk0bSnJDAABzDaQQwcjagIp6owcd6pKoekUNe2LThGhjmgAA + UYoYwR+NS1bvJAZMdNM1DyAokDKPAjZwyIAAOyOAALSUAB7yaABnSguJ3L8rS8gBFB6L + OtMIhOhhqy+AAMTEw7EvciwgTQNZUzWSklAsAB7TiwjDRe1R9MCwaUgakC/gAXU/gAE1 + BJAtpN0MAEcCPRUNQ4j5j0eAB8UlSNJt2bkQAAJlNAAYtOwbB8KTmBMkyWp0+rQGAABZ + VaQU6YoAANWIAAlWiLLabdcAAVtdgALVfAAHtgrVJknKGta7RDGkrxS6iQHXZ8VHTVVW + TMiof2uLQ4W0UolW6ABaXBEQLgAc9yoZWIDWHIKoXGHF3OG4q+AAGt6RhGSR2edbdN5D + YcrYh6FqctqFm7gr2BI/CXqcmcdGTHkWx8kkXs6aaksq3waAAVeNqqmVJHwAAQ5FKS9q + YzQGAADmVYOACEgBdwcXFaqKiNmolEVnBamjncjSRVYWJ+oMcPVOE5Tie0LHiABxaYAA + HafRl/ZOml833S9+5nrKR4bljEAnICPNJpTYWk6Brrwk8jg68byxfdlPxLo97AFclzHB + u8IQkGO9wQ9etIWx5Y8EW8iHCABrcQty4LbGO6cbKjAKcwtRhRyoABTzFENFhaWbEABd + 9Bi3Ib/rS23QAAEdSAAidY6QHzxGtQu3F7ldf2vRG/3IAGh3lKZAhdkABF8XqdN1p6Bl + QObQvXSIgx6cLbZSgHr30bTvF9jVF7XT6I4FlO3z1lebv/g6eB256D6kmnvp2oduBX4J + BF+P2J9n6T7x8rdSBHtezzj43nE3ZcSJgZEX/wAgLABv702kpCPEjh26emOrVgSQyA8C + iVvPgHBiDkHSQpBO2qF7Lt3zN9g9CdM0GiEQohY81ZTnnPO0OW7d08LYbNZhUQqG8OyV + ovPDA19b7XzrNcnDyIz44cxHiUQNLEDYmwQOXBKJcU4MRJipBh+kMDSundu7dx8V4wQd + itGExsLzSnbLas1272YyRthtGNv7ckSMkeAXdx6dgAFtRwVMCKJo+rgFoAA+wKmSl9hK + 7eIpC15JQGc66PLAI3Egh+3sGMJjgRzO3E0pzp15PiIGs1WgEnNHfIrHBMxZR0H8P8dg + xRjCepTYiQtzyzYftVYoAAX8uQAB1l4AADcvySRNbMvNeskSSS3bVK06pMy2jemdI8l7 + xnCgABLNV0UUiBueLaecc0jpkuAgFCs9yoWCjdNkbRx5bRSzrga29zwXZ4KwVkQscs9X + 6ssJHE2H85QAA8n8SCIMP3jP0f26NBcvpgEjLai97pGDiDNoQBtMKYyPryiauUc4AH4A + KAAB6jyy0sjKpEACeo5XlgAmcN6RyqGozQAAl8apXiwTgIKThM1MG2I/be3KIqL3PPZm + wRdeQs6iMsoaRcUdSX0EGn7P8j7vBoOodUpZ61IAAA+qwAAbVW6rP3K1KGqT/FQgrrIA + ByoKEco7SCgACpIGmDiAAKKuQAAv11AAmgIE8l0xBey8Ehdb6O0fI+duadNCDwbODYCq + AAAk2NAAo8Y4AGqwVdPUAlVBwpWZAANizh5j0VYB8pgj79IfjZtNU0HhIG5NyT7Hh4Nb + LJLQIXaYbIAJKQTSDRuCbUyP0PojRMDChCHtytopgFtxwACquUpiH6LGSLyam8lvKXZc + i/AACK7BDJTGNSCMS71npumzBfTI7KQaCuPT6so1o7W60Zm4AAJ98Y/QTJGdsal97UTG + JJb6X9EkxXBJmqE/QvawshZHWB+lsDtx4KcqG+41FZq1WCD27U4YdMzh/MMrgz6qvZiK + 6dUKfV5XYBElpVJlwNSWJZD8XOLYTSev0Qxx68gh41TI1+ZhD45yMq1Vx7BRISp9iCn1 + 7NxwWvHiFhWmtiGtLyfpE2JseF5PZt1BLD6soLksWVQeV8hcYwGIpWB40NTGp9iyaVuW + MMC5VJUlavxEbtweLbBVgJFMsszznJDL5GCnZ3b/nkl+dSZZ+IqQEAAADgEAAAMAAAAB + AE0AAAEBAAMAAAABABkAAAECAAMAAAAEAAAH0AEDAAMAAAABAAUAAAEGAAMAAAABAAIA + AAERAAQAAAABAAAACAESAAMAAAABAAEAAAEVAAMAAAABAAQAAAEWAAMAAAABABkAAAEX + AAQAAAABAAAHGQEcAAMAAAABAAEAAAE9AAMAAAABAAIAAAFSAAMAAAABAAEAAAFTAAMA + AAAEAAAH2AAAAAAACAAIAAgACAABAAEAAQAB + + ReadOnly + NO + RowAlign + 1 + RowSpacing + 36 + SheetTitle + Canvas 1 + SmartAlignmentGuidesActive + YES + SmartDistanceGuidesActive + YES + UniqueID + 1 + UseEntirePage + + VPages + 1 + WindowInfo + + CurrentSheet + 0 + ExpandedCanvases + + + name + Canvas 1 + + + Frame + {{655, 201}, {693, 937}} + ListView + + OutlineWidth + 142 + RightSidebar + + ShowRuler + + Sidebar + + SidebarWidth + 120 + VisibleRegion + {{-1, 0}, {544, 768}} + Zoom + 1 + ZoomValues + + + Canvas 1 + 1 + 1 + + + + saveQuickLookFiles + YES + + diff -r 21684fe357d9 -r 4b0a368cc858 presentation/fig/cbc.jpg Binary file presentation/fig/cbc.jpg has changed diff -r 21684fe357d9 -r 4b0a368cc858 presentation/fig/code.eps --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/presentation/fig/code.eps Mon Sep 26 10:38:35 2011 +0900 @@ -0,0 +1,3404 @@ +%!PS-Adobe-3.0 EPSF-3.0 +%%HiResBoundingBox: 0.000000 0.000000 383.997986 176.998001 +%APL_DSC_Encoding: UTF8 +%%Title: (Unknown) +%%Creator: (Unknown) +%%CreationDate: (Unknown) +%%For: (Unknown) +%%DocumentData: Clean7Bit +%%LanguageLevel: 2 +%%Pages: 1 +%%BoundingBox: 0 0 383 176 +%%EndComments +%%BeginProlog +%%BeginFile: cg-pdf.ps +%%Copyright: Copyright 2000-2002 Apple Computer Incorporated. +%%Copyright: All Rights Reserved. +currentpacking true setpacking +/cg_md 140 dict def +cg_md begin +/L3? languagelevel 3 ge def +/bd{bind def}bind def +/ld{load def}bd +/xs{exch store}bd +/xd{exch def}bd +/cmmtx matrix def +mark +/sc/setcolor +/scs/setcolorspace +/dr/defineresource +/fr/findresource +/T/true +/F/false +/d/setdash +/w/setlinewidth +/J/setlinecap +/j/setlinejoin +/M/setmiterlimit +/i/setflat +/rc/rectclip +/rf/rectfill +/rs/rectstroke +/f/fill +/f*/eofill +/sf/selectfont +/s/show +/xS/xshow +/yS/yshow +/xyS/xyshow +/S/stroke +/m/moveto +/l/lineto +/c/curveto +/h/closepath +/n/newpath +/q/gsave +/Q/grestore +counttomark 2 idiv +{ld}repeat pop +/SC{ + /ColorSpace fr scs +}bd +/cgmtx matrix def +/sdmtx{cgmtx currentmatrix pop}bd +/CM {cgmtx setmatrix}bd +/cm {cmmtx astore CM concat}bd +/W{clip newpath}bd +/W*{eoclip newpath}bd +statusdict begin product end dup (HP) anchorsearch{ + pop pop pop + true +}{ + pop + (hp) anchorsearch{ + pop pop true + }{ + pop false + }ifelse +}ifelse +{ + { + { + pop pop + (0)dup 0 4 -1 roll put + F charpath + }cshow + } +}{ + {F charpath} +}ifelse +/cply exch bd +/cps {cply stroke}bd +/pgsave 0 def +/bp{/pgsave save store}bd +/ep{pgsave restore showpage}def +/re{4 2 roll m 1 index 0 rlineto 0 exch rlineto neg 0 rlineto h}bd +/scrdict 10 dict def +/scrmtx matrix def +/patarray 0 def +/createpat{patarray 3 1 roll put}bd +/makepat{ +scrmtx astore pop +gsave +initgraphics +CM +patarray exch get +scrmtx +makepattern +grestore +setpattern +}bd +/cg_BeginEPSF{ + userdict save/cg_b4_Inc_state exch put + userdict/cg_endepsf/cg_EndEPSF load put + count userdict/cg_op_count 3 -1 roll put + countdictstack dup array dictstack userdict/cg_dict_array 3 -1 roll put + 3 sub{end}repeat + /showpage {} def + 0 setgray 0 setlinecap 1 setlinewidth 0 setlinejoin + 10 setmiterlimit [] 0 setdash newpath + false setstrokeadjust false setoverprint +}bd +/cg_EndEPSF{ + countdictstack 3 sub { end } repeat + cg_dict_array 3 1 index length 3 sub getinterval + {begin}forall + count userdict/cg_op_count get sub{pop}repeat + userdict/cg_b4_Inc_state get restore + F setpacking +}bd +/cg_biproc{currentfile/RunLengthDecode filter}bd +/cg_aiproc{currentfile/ASCII85Decode filter/RunLengthDecode filter}bd +/ImageDataSource 0 def +L3?{ + /cg_mibiproc{pop pop/ImageDataSource{cg_biproc}def}bd + /cg_miaiproc{pop pop/ImageDataSource{cg_aiproc}def}bd +}{ + /ImageBandMask 0 def + /ImageBandData 0 def + /cg_mibiproc{ + string/ImageBandMask xs + string/ImageBandData xs + /ImageDataSource{[currentfile/RunLengthDecode filter dup ImageBandMask/readstring cvx + /pop cvx dup ImageBandData/readstring cvx/pop cvx]cvx bind}bd + }bd + /cg_miaiproc{ + string/ImageBandMask xs + string/ImageBandData xs + /ImageDataSource{[currentfile/ASCII85Decode filter/RunLengthDecode filter + dup ImageBandMask/readstring cvx + /pop cvx dup ImageBandData/readstring cvx/pop cvx]cvx bind}bd + }bd +}ifelse +/imsave 0 def +/BI{save/imsave xd mark}bd +/EI{imsave restore}bd +/ID{ +counttomark 2 idiv +dup 2 add +dict begin +{def} repeat +pop +/ImageType 1 def +/ImageMatrix[Width 0 0 Height neg 0 Height]def +currentdict dup/ImageMask known{ImageMask}{F}ifelse exch +L3?{ + dup/MaskedImage known + { + pop + << + /ImageType 3 + /InterleaveType 2 + /DataDict currentdict + /MaskDict + << /ImageType 1 + /Width Width + /Height Height + /ImageMatrix ImageMatrix + /BitsPerComponent 1 + /Decode [0 1] + currentdict/Interpolate known + {/Interpolate Interpolate}if + >> + >> + }if +}if +exch +{imagemask}{image}ifelse +end +}bd +/cguidfix{statusdict begin mark version end +{cvr}stopped{cleartomark 0}{exch pop}ifelse +2012 lt{dup findfont dup length dict begin +{1 index/FID ne 2 index/UniqueID ne and +{def} {pop pop} ifelse}forall +currentdict end definefont pop +}{pop}ifelse +}bd +/t_array 0 def +/t_i 0 def +/t_c 1 string def +/x_proc{ + exch t_array t_i get add exch moveto + /t_i t_i 1 add store +}bd +/y_proc{ + t_array t_i get add moveto + /t_i t_i 1 add store +}bd +/xy_proc{ + + t_array t_i 2 copy 1 add get 3 1 roll get + 4 -1 roll add 3 1 roll add moveto + /t_i t_i 2 add store +}bd +/sop 0 def +/cp_proc/x_proc ld +/base_charpath +{ + /t_array xs + /t_i 0 def + { + t_c 0 3 -1 roll put + currentpoint + t_c cply sop + cp_proc + }forall + /t_array 0 def +}bd +/sop/stroke ld +/nop{}def +/xsp/base_charpath ld +/ysp{/cp_proc/y_proc ld base_charpath/cp_proc/x_proc ld}bd +/xysp{/cp_proc/xy_proc ld base_charpath/cp_proc/x_proc ld}bd +/xmp{/sop/nop ld /cp_proc/x_proc ld base_charpath/sop/stroke ld}bd +/ymp{/sop/nop ld /cp_proc/y_proc ld base_charpath/sop/stroke ld}bd +/xymp{/sop/nop ld /cp_proc/xy_proc ld base_charpath/sop/stroke ld}bd +/refnt{ +findfont dup length dict copy dup +/Encoding 4 -1 roll put +definefont pop +}bd +/renmfont{ +findfont dup length dict copy definefont pop +}bd +L3? dup dup{save exch}if +/Range 0 def +/Domain 0 def +/Encode 0 def +/Decode 0 def +/Size 0 def +/DataSource 0 def +/mIndex 0 def +/nDomain 0 def +/ival 0 def +/val 0 def +/nDomM1 0 def +/sizem1 0 def +/srcEncode 0 def +/srcDecode 0 def +/nRange 0 def +/d0 0 def +/r0 0 def +/di 0 def +/ri 0 def +/a0 0 def +/a1 0 def +/r1 0 def +/r2 0 def +/dx 0 def +/Nsteps 0 def +/sh3tp 0 def +/ymax 0 def +/ymin 0 def +/xmax 0 def +/xmin 0 def +/min +{ + 2 copy gt + {exch pop}{pop}ifelse +}bd +/max +{ + 2 copy lt + {exch pop}{pop}ifelse +}bd +/inter +{ + 1 index sub 5 2 roll + 1 index sub + 3 1 roll + sub 3 1 roll div mul add +}bd +/setupFunEvalN +{ + begin + /nDomM1 Domain length 2 idiv 1 sub store + /sizem1[ + 0 1 nDomM1 + { + Size exch get 1 sub + }for + ]store + /srcEncode + currentdict/Encode known + { + Encode + }{ + [ + 0 1 nDomM1 + { + 0 sizem1 3 -1 roll get + }for + ] + }ifelse + store + /srcDecode + currentdict/Decode known + {Decode}{Range}ifelse + store + /nRange Range length 2 idiv store + end +}bd +/FunEvalN +{ + begin + + nDomM1 -1 0 + { + 2 mul/mIndex xs + Domain mIndex get max Domain mIndex 1 add get min + + Domain mIndex get Domain mIndex 1 add get srcEncode mIndex get srcEncode mIndex 1 add get inter + round cvi + + 0 max sizem1 mIndex 2 idiv get min + nDomM1 1 add 1 roll + }for + + nDomM1 1 add array astore/val xs + + + + nDomM1 0 gt + { + 0 + nDomM1 -1 0 + { + dup 0 gt + { + /mIndex xs + val mIndex get + 1 index add + Size mIndex 1 sub get + mul + add + }{ + val exch get add + }ifelse + }for + }{ + val 0 get + }ifelse + + + nRange mul + /ival xs + 0 1 nRange 1 sub + { + dup 2 mul/mIndex xs + ival + add DataSource exch get + + 0 255 + srcDecode mIndex 2 copy get 3 1 roll + 1 add get + inter + Range mIndex get max Range mIndex 1 add get min + }for + end +}bd +/sh2 +{ + /Coords load aload pop + 3 index 3 index translate + + 3 -1 roll sub + 3 1 roll exch + sub + 2 copy + dup mul exch dup mul add sqrt + dup + scale + atan + + rotate + + /Function load setupFunEvalN + + + clippath {pathbbox}stopped {0 0 0 0}if newpath + /ymax xs + /xmax xs + /ymin xs + /xmin xs + currentdict/Extend known + { + /Extend load 0 get + { + + /Domain load 0 get + /Function load FunEvalN sc + xmin ymin xmin abs ymax ymin sub rectfill + }if + }if + + + /dx/Function load/Size get 0 get 1 sub 1 exch div store + gsave + /di ymax ymin sub store + /Function load dup + /Domain get dup 0 get exch 1 get 2 copy exch sub dx mul exch + { + 1 index FunEvalN sc + 0 ymin dx di rectfill + dx 0 translate + }for + pop + grestore + currentdict/Extend known + { + /Extend load 1 get + { + + /Domain load 1 get + /Function load FunEvalN sc + 1 ymin xmax 1 sub abs ymax ymin sub rectfill + }if + }if +}bd +/shp +{ + 4 copy + + dup 0 gt{ + 0 exch a1 a0 arc + }{ + pop 0 moveto + }ifelse + dup 0 gt{ + 0 exch a0 a1 arcn + }{ + pop 0 lineto + }ifelse + + fill + + dup 0 gt{ + 0 exch a0 a1 arc + }{ + pop 0 moveto + }ifelse + dup 0 gt{ + 0 exch a1 a0 arcn + }{ + pop 0 lineto + }ifelse + + fill +}bd +/calcmaxs +{ + + xmin dup mul ymin dup mul add sqrt + xmax dup mul ymin dup mul add sqrt + xmin dup mul ymax dup mul add sqrt + xmax dup mul ymax dup mul add sqrt + max max max +}bd +/sh3 +{ + /Coords load aload pop + 5 index 5 index translate + 3 -1 roll 6 -1 roll sub + 3 -1 roll 5 -1 roll sub + 2 copy dup mul exch dup mul add sqrt + /dx xs + 2 copy 0 ne exch 0 ne or + { + + exch atan rotate + }{ + pop pop + }ifelse + + /r2 xs + /r1 xs + /Function load + dup/Size get 0 get 1 sub + /Nsteps xs + setupFunEvalN + + + + + + dx r2 add r1 lt{ + + 0 + }{ + dx r1 add r2 le + { + 1 + }{ + r1 r2 eq + { + 2 + }{ + 3 + }ifelse + }ifelse + }ifelse + /sh3tp xs + clippath {pathbbox}stopped {0 0 0 0}if + newpath + /ymax xs + /xmax xs + /ymin xs + /xmin xs + + dx dup mul r2 r1 sub dup mul sub dup 0 gt + { + sqrt r2 r1 sub atan + /a0 exch 180 exch sub store + /a1 a0 neg store + }{ + pop + /a0 0 store + /a1 360 store + }ifelse + currentdict/Extend known + { + /Extend load 0 get r1 0 gt and + { + /Domain load 0 get/Function load FunEvalN sc + + + + + { + { + dx 0 r1 360 0 arcn + xmin ymin moveto + xmax ymin lineto + xmax ymax lineto + xmin ymax lineto + xmin ymin lineto + eofill + } + { + r1 0 gt{0 0 r1 0 360 arc fill}if + } + { + + + + + 0 r1 xmin abs r1 add neg r1 shp + } + { + + + r2 r1 gt{ + + 0 r1 + r1 neg r2 r1 sub div dx mul + 0 + shp + }{ + + + + 0 r1 calcmaxs + dup + + r2 add dx mul dx r1 r2 sub sub div + neg + exch 1 index + abs exch sub + shp + }ifelse + } + }sh3tp get exec + }if + }if + + /d0 0 store + /r0 r1 store + /di dx Nsteps div store + /ri r2 r1 sub Nsteps div store + /Function load + /Domain load dup 0 get exch 1 get + 2 copy exch sub Nsteps div + exch + { + 1 index FunEvalN sc + d0 di add r0 ri add d0 r0 shp + { + + d0 0 r0 a1 a0 arc + d0 di add 0 r0 ri add a0 a1 arcn + fill + + + d0 0 r0 a0 a1 arc + d0 di add 0 r0 ri add a1 a0 arcn + fill + }pop + + + /d0 d0 di add store + /r0 r0 ri add store + }for + pop + + currentdict/Extend known + { + /Extend load 1 get r2 0 gt and + { + /Domain load 1 get/Function load FunEvalN sc + + + + + { + { + dx 0 r2 0 360 arc fill + } + { + dx 0 r2 360 0 arcn + xmin ymin moveto + xmax ymin lineto + xmax ymax lineto + xmin ymax lineto + xmin ymin lineto + eofill + } + { + + + xmax abs r1 add r1 dx r1 shp + } + { + + r2 r1 gt{ + + + + calcmaxs dup + + r1 add dx mul dx r2 r1 sub sub div + exch 1 index + exch sub + dx r2 + shp + }{ + + r1 neg r2 r1 sub div dx mul + 0 + dx + r2 + shp + }ifelse + } + } + sh3tp get exec + }if + }if +}bd +/sh +{ + begin + /ShadingType load dup dup 2 eq exch 3 eq or + { + gsave + newpath + /ColorSpace load scs + currentdict/BBox known + { + /BBox load aload pop + 2 index sub + 3 index + 3 -1 roll exch sub + exch rectclip + }if + 2 eq + {sh2}{sh3}ifelse + grestore + }{ + + pop + (DEBUG: shading type unimplemented\n)print flush + }ifelse + end +}bd +{restore}if not dup{save exch}if + L3?{ + /sh/shfill ld + /csq/clipsave ld + /csQ/cliprestore ld + }if +{restore}if +end +setpacking +%%EndFile +%%EndProlog +%%BeginSetup +%%EndSetup +%%Page: 1 1 +%%PageBoundingBox: 0 0 383 176 +%%BeginPageSetup +cg_md begin +bp +sdmtx +%RBIBeginFontSubset: TCMPPA+Helvetica +%!PS-TrueTypeFont-1.0000-0.0000-2 + 14 dict begin/FontName /TCMPPA+Helvetica def + /PaintType 0 def + /Encoding 256 array 0 1 255{1 index exch/.notdef put}for + dup 33 /C put + dup 34 /o put + dup 35 /d put + dup 36 /e put + dup 37 /space put + dup 38 /S put + dup 39 /g put + dup 40 /m put + dup 41 /n put + dup 42 /t put + dup 43 /I put + dup 44 /p put + dup 45 /u put + dup 46 /r put + dup 47 /f put + dup 48 /a put + dup 49 /c put + dup 50 /O put + readonly def + 42/FontType resourcestatus{pop pop false}{true}ifelse + %APLsfntBegin + {currentfile 0(%APLsfntEnd\n)/SubFileDecode filter flushfile}if + /FontType 42 def + /FontMatrix matrix def + /FontBBox[2048 -342 1 index div -914 2 index div 2036 3 index div 2100 5 -1 roll div]cvx def + /sfnts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def + /CharStrings 19 dict dup begin + /.notdef 0 def +/space 1 def +/C 2 def +/I 3 def +/O 4 def +/S 5 def +/a 6 def +/c 7 def +/d 8 def +/e 9 def +/f 10 def +/g 11 def +/m 12 def +/n 13 def +/o 14 def +/p 15 def +/r 16 def +/t 17 def +/u 18 def + end readonly def + currentdict dup/FontName get exch definefont pop end + %APLsfntEnd + 42/FontType resourcestatus{pop pop true}{false}ifelse + {currentfile 0(%APLT1End\n)/SubFileDecode filter flushfile}if + /FontType 1 def + /FontMatrix [ 0.00048828125 0 0 0.00048828125 0 0 ] def + /FontBBox{-342 -914 2036 2100}def + /UniqueID 4045371 def + currentdict currentfile eexec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cleartomark end + %APLT1End + +%RBIEndFontSubset +/TCMPPA+Helvetica cguidfix +/F1.1/TCMPPA+Helvetica renmfont +[ /CIEBasedA 5 dict dup begin /WhitePoint [ 0.9505 1.0000 1.0891 ] def +/DecodeA { { 1.8008 exp } bind exec} bind +def +/MatrixA [ 0.9642 1.0000 0.8249 ] def +/RangeLMN [ 0.0 2.0000 0.0 2.0000 0.0 2.0000 ] def +/DecodeLMN [ { 0.9857 mul} bind { 1.0000 mul} bind { 1.3202 mul} bind ] def +end ] /Cs1 exch/ColorSpace dr pop +[ /CIEBasedABC 4 dict dup begin +/WhitePoint [ 0.9505 1.0000 1.0891 ] def +/DecodeABC [ { 1.8008 exp } bind { 1.8008 exp } bind { 1.8008 exp } bind ] def +/MatrixABC [ 0.4294 0.2332 0.0202 0.3278 0.6737 0.1105 0.1933 0.0938 0.9580 ] def +/RangeLMN [ 0.0 0.9505 0.0 1.0000 0.0 1.0891 ] def +end ] /Cs2 exch/ColorSpace dr pop +%%EndPageSetup +/Cs1 SC +1 sc +q +0 0 383.99799 176.998 rc +0 177 m +384 177 l +384 0 l +0 0 l +h +f +0.60000002 i +0.33333334 sc +138.39981 101.59802 m +273.39981 101.59802 l +273.39981 65.598022 l +138.39981 65.598022 l +h +138.39981 101.59802 m +f +0.33333334 sc +137.6998 102.29802 m +272.6998 102.29802 l +272.6998 66.298019 l +137.6998 66.298019 l +h +137.6998 102.29802 m +f +0.33333334 sc +136.9998 102.99802 m +271.99982 102.99802 l +271.99982 66.998016 l +136.9998 66.998016 l +h +136.9998 102.99802 m +f +0.33333334 sc +136.2998 103.69801 m +271.2998 103.69801 l +271.2998 67.698013 l +136.2998 67.698013 l +h +136.2998 103.69801 m +f +0.33333334 sc +135.59979 104.39801 m +270.59979 104.39801 l +270.59979 68.39801 l +135.59979 68.39801 l +h +135.59979 104.39801 m +f +0.33333334 sc +134.8998 105.09801 m +269.89981 105.09801 l +269.89981 69.098007 l +134.8998 69.098007 l +h +134.8998 105.09801 m +f +0.33333334 sc +134.1998 105.798 m +269.1998 105.798 l +269.1998 69.798004 l +134.1998 69.798004 l +h +134.1998 105.798 m +f +0.33333334 sc +73.855621 96.325928 m +87.914558 89.296501 87.914558 77.899544 73.855621 70.870117 c +59.796753 63.840645 37.002853 63.840645 22.943985 70.870117 c +8.8850479 77.899544 8.8850479 89.296501 22.943985 96.325928 c +37.002853 103.3554 59.796753 103.3554 73.855621 96.325928 c +f +0.33333334 sc +73.155624 97.025925 m +87.214554 89.996498 87.214554 78.599541 73.155624 71.570114 c +59.096752 64.540642 36.302853 64.540642 22.243984 71.570114 c +8.1850471 78.599541 8.1850471 89.996498 22.243984 97.025925 c +36.302853 104.0554 59.096752 104.0554 73.155624 97.025925 c +f +0.33333334 sc +72.45562 97.725922 m +86.514557 90.696495 86.514557 79.299538 72.45562 72.270111 c +58.396751 65.240639 35.602852 65.240639 21.543983 72.270111 c +7.4850464 79.299538 7.4850464 90.696495 21.543983 97.725922 c +35.602852 104.75539 58.396751 104.75539 72.45562 97.725922 c +f +0.33333334 sc +71.755615 98.425919 m +85.81456 91.396492 85.81456 79.999535 71.755615 72.970108 c +57.696751 65.940636 34.902851 65.940636 20.843983 72.970108 c +6.7850456 79.999535 6.7850456 91.396492 20.843983 98.425919 c +34.902851 105.45539 57.696751 105.45539 71.755615 98.425919 c +f +0.33333334 sc +71.055618 99.125916 m +85.114555 92.096489 85.114555 80.699532 71.055618 73.670105 c +56.99675 66.640633 34.20285 66.640633 20.143982 73.670105 c +6.0850449 80.699532 6.0850449 92.096489 20.143982 99.125916 c +34.20285 106.15539 56.99675 106.15539 71.055618 99.125916 c +f +0.33333334 sc +70.355621 99.825912 m +84.414551 92.796486 84.414551 81.399529 70.355621 74.370102 c +56.296749 67.34063 33.50285 67.34063 19.443981 74.370102 c +5.3850441 81.399529 5.3850441 92.796486 19.443981 99.825912 c +33.50285 106.85538 56.296749 106.85538 70.355621 99.825912 c +f +0.33333334 sc +69.655617 100.52591 m +83.714554 93.496483 83.714554 82.099525 69.655617 75.070099 c +55.596748 68.040627 32.802849 68.040627 18.74398 75.070099 c +4.6850433 82.099525 4.6850433 93.496483 18.74398 100.52591 c +32.802849 107.55538 55.596748 107.55538 69.655617 100.52591 c +f +0.33333334 sc +370.85562 96.325928 m +384.91458 89.296501 384.91458 77.899544 370.85562 70.870117 c +356.79675 63.840645 334.00287 63.840645 319.944 70.870117 c +305.88504 77.899544 305.88504 89.296501 319.944 96.325928 c +334.00287 103.3554 356.79675 103.3554 370.85562 96.325928 c +f +0.33333334 sc +370.15561 97.025925 m +384.21457 89.996498 384.21457 78.599541 370.15561 71.570114 c +356.09674 64.540642 333.30286 64.540642 319.24399 71.570114 c +305.18503 78.599541 305.18503 89.996498 319.24399 97.025925 c +333.30286 104.0554 356.09674 104.0554 370.15561 97.025925 c +f +0.33333334 sc +369.45563 97.725922 m +383.51459 90.696495 383.51459 79.299538 369.45563 72.270111 c +355.39673 65.240639 332.60284 65.240639 318.54401 72.270111 c +304.48505 79.299538 304.48505 90.696495 318.54401 97.725922 c +332.60284 104.75539 355.39673 104.75539 369.45563 97.725922 c +f +0.33333334 sc +368.75562 98.425919 m +382.81458 91.396492 382.81458 79.999535 368.75562 72.970108 c +354.69675 65.940636 331.90286 65.940636 317.84399 72.970108 c +303.78503 79.999535 303.78503 91.396492 317.84399 98.425919 c +331.90286 105.45539 354.69675 105.45539 368.75562 98.425919 c +f +0.33333334 sc +368.0556 99.125916 m +382.11456 92.096489 382.11456 80.699532 368.0556 73.670105 c +353.99673 66.640633 331.20285 66.640633 317.14398 73.670105 c +303.08502 80.699532 303.08502 92.096489 317.14398 99.125916 c +331.20285 106.15539 353.99673 106.15539 368.0556 99.125916 c +f +0.33333334 sc +367.35562 99.825912 m +381.41458 92.796486 381.41458 81.399529 367.35562 74.370102 c +353.29675 67.34063 330.50287 67.34063 316.444 74.370102 c +302.38504 81.399529 302.38504 92.796486 316.444 99.825912 c +330.50287 106.85538 353.29675 106.85538 367.35562 99.825912 c +f +0.33333334 sc +366.65561 100.52591 m +380.71457 93.496483 380.71457 82.099525 366.65561 75.070099 c +352.59674 68.040627 329.80286 68.040627 315.74399 75.070099 c +301.68503 82.099525 301.68503 93.496483 315.74399 100.52591 c +329.80286 107.55538 352.59674 107.55538 366.65561 100.52591 c +f +0.33333334 sc +370.85562 33.325928 m +384.91458 26.296494 384.91458 14.899551 370.85562 7.8701172 c +356.79675 0.84063721 334.00287 0.84063721 319.944 7.8701172 c +305.88504 14.899551 305.88504 26.296494 319.944 33.325928 c +334.00287 40.355408 356.79675 40.355408 370.85562 33.325928 c +f +0.33333334 sc +370.15561 34.025925 m +384.21457 26.99649 384.21457 15.599548 370.15561 8.5701141 c +356.09674 1.5406342 333.30286 1.5406342 319.24399 8.5701141 c +305.18503 15.599548 305.18503 26.99649 319.24399 34.025925 c +333.30286 41.055405 356.09674 41.055405 370.15561 34.025925 c +f +0.33333334 sc +369.45563 34.725922 m +383.51459 27.696487 383.51459 16.299545 369.45563 9.2701111 c +355.39673 2.2406311 332.60284 2.2406311 318.54401 9.2701111 c +304.48505 16.299545 304.48505 27.696487 318.54401 34.725922 c +332.60284 41.755402 355.39673 41.755402 369.45563 34.725922 c +f +0.33333334 sc +368.75562 35.425919 m +382.81458 28.396484 382.81458 16.999542 368.75562 9.970108 c +354.69675 2.9406281 331.90286 2.9406281 317.84399 9.970108 c +303.78503 16.999542 303.78503 28.396484 317.84399 35.425919 c +331.90286 42.455399 354.69675 42.455399 368.75562 35.425919 c +f +0.33333334 sc +368.0556 36.125916 m +382.11456 29.096481 382.11456 17.699539 368.0556 10.670105 c +353.99673 3.640625 331.20285 3.640625 317.14398 10.670105 c +303.08502 17.699539 303.08502 29.096481 317.14398 36.125916 c +331.20285 43.155396 353.99673 43.155396 368.0556 36.125916 c +f +0.33333334 sc +367.35562 36.825912 m +381.41458 29.796478 381.41458 18.399536 367.35562 11.370102 c +353.29675 4.3406219 330.50287 4.3406219 316.444 11.370102 c +302.38504 18.399536 302.38504 29.796478 316.444 36.825912 c +330.50287 43.855392 353.29675 43.855392 367.35562 36.825912 c +f +0.33333334 sc +366.65561 37.525909 m +380.71457 30.496475 380.71457 19.099533 366.65561 12.070099 c +352.59674 5.0406189 329.80286 5.0406189 315.74399 12.070099 c +301.68503 19.099533 301.68503 30.496475 315.74399 37.525909 c +329.80286 44.555389 352.59674 44.555389 366.65561 37.525909 c +f +0.33333334 sc +370.85562 159.32593 m +384.91458 152.29649 384.91458 140.89955 370.85562 133.87012 c +356.79675 126.84064 334.00287 126.84064 319.944 133.87012 c +305.88504 140.89955 305.88504 152.29649 319.944 159.32593 c +334.00287 166.35541 356.79675 166.35541 370.85562 159.32593 c +f +0.33333334 sc +370.15561 160.02592 m +384.21457 152.99649 384.21457 141.59955 370.15561 134.57011 c +356.09674 127.54064 333.30286 127.54064 319.24399 134.57011 c +305.18503 141.59955 305.18503 152.99649 319.24399 160.02592 c +333.30286 167.05539 356.09674 167.05539 370.15561 160.02592 c +f +0.33333334 sc +369.45563 160.72592 m +383.51459 153.69649 383.51459 142.29955 369.45563 135.27011 c +355.39673 128.24063 332.60284 128.24063 318.54401 135.27011 c +304.48505 142.29955 304.48505 153.69649 318.54401 160.72592 c +332.60284 167.7554 355.39673 167.7554 369.45563 160.72592 c +f +0.33333334 sc +368.75562 161.42592 m +382.81458 154.39648 382.81458 142.99954 368.75562 135.97011 c +354.69675 128.94064 331.90286 128.94064 317.84399 135.97011 c +303.78503 142.99954 303.78503 154.39648 317.84399 161.42592 c +331.90286 168.45538 354.69675 168.45538 368.75562 161.42592 c +f +0.33333334 sc +368.0556 162.12592 m +382.11456 155.09648 382.11456 143.69954 368.0556 136.6701 c +353.99673 129.64062 331.20285 129.64062 317.14398 136.6701 c +303.08502 143.69954 303.08502 155.09648 317.14398 162.12592 c +331.20285 169.1554 353.99673 169.1554 368.0556 162.12592 c +f +0.33333334 sc +367.35562 162.82591 m +381.41458 155.79648 381.41458 144.39954 367.35562 137.3701 c +353.29675 130.34064 330.50287 130.34064 316.444 137.3701 c +302.38504 144.39954 302.38504 155.79648 316.444 162.82591 c +330.50287 169.85538 353.29675 169.85538 367.35562 162.82591 c +f +0.33333334 sc +366.65561 163.52591 m +380.71457 156.49648 380.71457 145.09953 366.65561 138.0701 c +352.59674 131.04062 329.80286 131.04062 315.74399 138.0701 c +301.68503 145.09953 301.68503 156.49648 315.74399 163.52591 c +329.80286 170.55539 352.59674 170.55539 366.65561 163.52591 c +f +1 sc +133.4998 106.49802 m +268.49982 106.49802 l +268.49982 70.498016 l +133.4998 70.498016 l +h +133.4998 106.49802 m +f +0 sc +1 0 0 -1 -46.500198 178.49802 cm +180 72 m +315 72 l +315 108 l +180 108 l +h +180 72 m +S +0 i +1 0 0 -1 200.9998 88.498016 cm +/F1.1[ 12 0 0 -12 0 0]sf +-41 2.5 m +(!"#$%&$'\($\)*)[ 8.666016 6.673828 6.673828 6.673828 3.333984 8.003906 6.673828 6.673828 9.996094 6.673828 6.673828 3.333984 ] xS +0.60000002 i +1 0 0 -1 -46.500198 178.49802 cm +125.99997 90.029465 m +170.10001 90.018776 l +S +CM +131.59981 88.481186 m +123.59908 91.479248 l +123.60054 85.479248 l +h +131.59981 88.481186 m +f +1 0 0 -1 -46.500198 178.49802 cm +178.10001 90.01683 m +170.09927 87.018768 l +170.10074 93.018768 l +h +178.10001 90.01683 m +S +315 90.13665 m +341.10022 90.087112 l +S +CM +302.60004 88.426086 m +294.59436 91.410896 l +294.60571 85.410912 l +h +302.60004 88.426086 m +f +1 0 0 -1 -46.500198 178.49802 cm +349.10022 90.07193 m +341.09454 87.08712 l +341.1059 93.087105 l +h +349.10022 90.07193 m +S +1 sc +CM +68.95562 101.22592 m +83.014557 94.196495 83.014557 82.799538 68.95562 75.770111 c +54.896751 68.740639 32.102852 68.740639 18.043983 75.770111 c +3.9850464 82.799538 3.9850464 94.196495 18.043983 101.22592 c +32.102852 108.25539 54.896751 108.25539 68.95562 101.22592 c +f +0 sc +1 0 0 -1 -46.500198 178.49802 cm +115.45582 77.272095 m +129.51476 84.301521 129.51476 95.698479 115.45582 102.72791 c +101.39695 109.75738 78.60305 109.75738 64.544182 102.72791 c +50.485245 95.698479 50.485245 84.301521 64.544182 77.272095 c +78.60305 70.242622 101.39695 70.242622 115.45582 77.272095 c +S +0 i +1 0 0 -1 43.499802 88.498016 cm +-14.300001 -3.5 m +(+\),-*)[ 3.333984 6.673828 6.673828 6.673828 3.333984 ] xS +-24.300001 11.5 m +(+\)*$./01$)[ 3.333984 6.673828 3.333984 6.673828 3.996094 3.333984 6.673828 6.000000 6.673828 ] xS +0.60000002 i +1 sc +CM +365.95563 101.22592 m +380.01459 94.196495 380.01459 82.799538 365.95563 75.770111 c +351.89673 68.740639 329.10284 68.740639 315.04401 75.770111 c +300.98505 82.799538 300.98505 94.196495 315.04401 101.22592 c +329.10284 108.25539 351.89673 108.25539 365.95563 101.22592 c +f +0 sc +1 0 0 -1 -46.500198 178.49802 cm +412.45581 77.272095 m +426.51477 84.301521 426.51477 95.698479 412.45581 102.72791 c +398.39691 109.75738 375.60303 109.75738 361.54419 102.72791 c +347.48523 95.698479 347.48523 84.301521 361.54419 77.272095 c +375.60303 70.242622 398.39691 70.242622 412.45581 77.272095 c +S +0 i +1 0 0 -1 340.49982 88.498016 cm +-19.300001 -3.5 m +(2-*,-*)[ 9.333984 6.673828 3.333984 6.673828 6.673828 3.333984 ] xS +-24.300001 11.5 m +(+\)*$./01$)[ 3.333984 6.673828 3.333984 6.673828 3.996094 3.333984 6.673828 6.000000 6.673828 ] xS +0.60000002 i +1 sc +CM +365.95563 38.225922 m +380.01459 31.196487 380.01459 19.799545 365.95563 12.770111 c +351.89673 5.7406311 329.10284 5.7406311 315.04401 12.770111 c +300.98505 19.799545 300.98505 31.196487 315.04401 38.225922 c +329.10284 45.255402 351.89673 45.255402 365.95563 38.225922 c +f +0 sc +1 0 0 -1 -46.500198 178.49802 cm +412.45581 140.27209 m +426.51477 147.30153 426.51477 158.69847 412.45581 165.72791 c +398.39691 172.75739 375.60303 172.75739 361.54419 165.72791 c +347.48523 158.69847 347.48523 147.30153 361.54419 140.27209 c +375.60303 133.24261 398.39691 133.24261 412.45581 140.27209 c +S +0 i +1 0 0 -1 340.49982 25.498016 cm +-19.300001 -3.5 m +(2-*,-*)[ 9.333984 6.673828 3.333984 6.673828 6.673828 3.333984 ] xS +-24.300001 11.5 m +(+\)*$./01$)[ 3.333984 6.673828 3.333984 6.673828 3.996094 3.333984 6.673828 6.000000 6.673828 ] xS +0.60000002 i +1 sc +CM +365.95563 164.22592 m +380.01459 157.19649 380.01459 145.79955 365.95563 138.77011 c +351.89673 131.74063 329.10284 131.74063 315.04401 138.77011 c +300.98505 145.79955 300.98505 157.19649 315.04401 164.22592 c +329.10284 171.2554 351.89673 171.2554 365.95563 164.22592 c +f +0 sc +1 0 0 -1 -46.500198 178.49802 cm +412.45581 14.272095 m +426.51477 21.301529 426.51477 32.698471 412.45581 39.727905 c +398.39691 46.757385 375.60303 46.757385 361.54419 39.727905 c +347.48523 32.698471 347.48523 21.301529 361.54419 14.272095 c +375.60303 7.2426147 398.39691 7.2426147 412.45581 14.272095 c +S +0 i +1 0 0 -1 340.49982 151.49802 cm +-19.300001 -3.5 m +(2-*,-*)[ 9.333984 6.673828 3.333984 6.673828 6.673828 3.333984 ] xS +-24.300001 11.5 m +(+\)*$./01$)[ 3.333984 6.673828 3.333984 6.673828 3.996094 3.333984 6.673828 6.000000 6.673828 ] xS +0.60000002 i +1 0 0 -1 -46.500198 178.49802 cm +287.31339 72 m +351.25519 43.135727 l +S +CM +312.04651 138.65378 m +303.52069 138.0966 l +305.98932 132.62798 l +h +312.04651 138.65378 m +f +1 0 0 -1 -46.500198 178.49802 cm +358.54669 39.844238 m +350.02087 40.401413 l +352.4895 45.870041 l +h +358.54669 39.844238 m +S +287.35712 107.99999 m +351.26111 136.85988 l +S +CM +312.05194 38.345444 m +305.99573 44.372253 l +303.52618 38.904022 l +h +312.05194 38.345444 m +f +1 0 0 -1 -46.500198 178.49802 cm +358.55212 140.15257 m +352.49591 134.12576 l +350.02637 139.59399 l +h +358.55212 140.15257 m +S +Q +/Cs2 SC +q +83 0 0 45 301 0 cm +BI +/Width 230 +/Height 125 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +h>dK8rWW:7rr.KK +Z2]CTJcC<$ci;i$rcS)r_i_br]:$1rZV7UrX%]erWE-2rZ(ncr\XU?r_B`:?t*<#us!<*3% +./m!@G5elJ +o#(KYs3L`Bs8KS.7eHc\#Q@?R!<-C*rIOtKs8LpTSGlXi(?5Do#6$%M:B#A7 +RK1,(^qfs3JcGTHrq69r`9"PrX8&mrX/Wqrepd%rr1[P +JcF'r +n,NCKrce>Nf)YeVrr&Ggrq-3!rcA&mrW2HfrX]!(rf[9.rqG=M +JcEpnroF'_rb_Wali@"ur`/rcrnQT7 +JcF'r +l2U`je,]JRrr&u!rql]5rdk&3rWV`jrWrKire:?nrqt7F +JcELbroX3Tra>^Gli@#-raYr)rorqP +JcF'r +m/R(LrWpmOr`oI$[f??Qrb)3>mf<=gr\a\"rk/7) +a8^_jZiC$Yrg*O^rY"Z"rW3!Yrh9>c +q>Z$Gci8rbMM:rpRK! +JcDbMrr)i?re^VDrX/2rrY#3Nrn.>P +s+13rs6p!epAPcI!<-1$r6,->g&?$k'(l8(%fTf6UAj8X +s+13As8Min^]&W[0D,>E!`H +Sc=9@N;rnFrf6t* +!<<,o!<**":B%a%rIP"1 +s763hYdjc5=8r-as8Mur[f0##"8)Zn-iT2+ +m[*s)s+C=P +]tFEZr`/q$o`4t7reUR=JcG'9 +o)J\O[K-;-rqlBgrq68JrZUq7rWW:%rk=Em +JcC<$ +rVul[re17lo`4sqr`T6IJcG9? +p&G$jrl4rFrhTOWrdt,hraGe&r]gB7rZ;%Je,]JJrql]prr)hgrYtM1rZD,mrof1< +JcC<$ +p](6krj2SrrW;iprW<(,roAY1 +p]&P=rql]\rnIFprji$,rg<\?rc\9Pr`/qcr\ONtrXo,2r`&m,r\+$HrW<'lrj2V& +K)^E%JcG00rX/XPrpkX? +rVrSkrmLd5o`4tfrn4a[ro3pXrf[8+rdOj2ri?%Z +h#Ds*JcFs6rqZPSrY"u+rYPR#rqqEK +VuQbcrau-3p]1:Prkl;Hrr2ndr]0rYli@#?rf7!R +jo9o3JcFa0rpTi.rWi2ur[Ii] +LB":Rrk/4Np]1:;ri!:*rnIE8h#RF%rkRat +bl@[]qm6:lKE(qiqm6:l +bl?Jurnm]YrW2lrrW3":rq;r^QnIZiC$hrZV%:r\si3n,NCPr^cearWrMI +mf1N2r]L&Xrlp)qr]L&Xrlr[e +e,TFHrfR0eqZ-Ttrd=_A +Q2eDprqubArWE#trXAdmXoJB6qZ-TrrdFeCl2Ub^reg[WqZ-Uc +mf1N2r]L&Xrlp)qr]L&Xrlr[e +c2[dsr]9fSr\=E( +R/ai!rq$+^p]1:XrnadWroa7cqZ-V!h>dIip]1<7 +nc-i5r]L&Xrlp)qr]L&Xrlr[e +b5_J>reg[[qZ-U,rk+a# +^]4;mr\"'JrW`@_rr/qtrcS)dK>rXSf*r_)qargEhL +r/q!Qm/R']qiUmLrr;ugqiUk%qZ-V;rfR2Dqu?Z>qkjAUrr;ucreUPIr\+7JreCEum/R'RqiUmPm/R'] +qiUmLrr;ugqiUk%qZ-V;rfR2D +df78crmh!%qZ-TurgTMZ +_Z0VZrYbS5rY,:IVuQbfrXJ`)rcm&preC1JrpTX^rY5D5 +quH`_m/R&>ril(qrY>;1rp9"Or\+-Kro<\Or]L&Xrlr[e +\GuQ%rW`6"r]pJW +WrL9Brj_q2qZ-V1rqrAfreC:MrYNu^rZ:q:regLNrY5D5 +quH`_m/R&*Nrl"dLqZ-Ulrqrnu +cN!marX8T'rb@i#rabm+r]8I,r]g8[rb_H1rY5D5 +quH`_m/R&!KrltEPqZ-V( +XoHfKrm^o[qZ-V#rr/;br`]1!r_LrAr\+-KrdsqFrY5D5 +quH`_m/R&rqkOOroF%]qZ-VWp&G"+ +!!)osrp9+RrqQHrqZ-WTp&G"RqZ-W?p&G#,qZ-VBl2Ua7qZ-V5q#C=.qZ-W^n,NC^rWW0!ro3VNr]L&Xrlr[e +U]:>MrXJ`)rj]W@ +h>dJ/qZ-UPrq_BLrknL2rh&$HrcJ#;rYke1p&G"/ +!!)osrn6c?rkS:/ro3VNr]L&Xrlt-9rbMB2r_D_Xr^H\arhoPkrYbS5rn6c?rkS:/ro3VNr]L&Xrlr[e +T`>"bqZ-U0rq=,, +i;`fJrY#21rWN5QJc>`Mr_*+grY#4>j8]+9p]1;Xo)J\A +!!)osri#Dfrr2mlp]1rW3#]q#C=GqZ-Voo)J^gr_E4gro3VNr]L&X +rlr[e +ScA[aqZ-V3rr0e7 +i;`e6qZ-V)JcG]Krm:WFqZ-Tsrdb"Hm/R'-p]1:DrqZ-br_WXq +quH^jrquHhreL.Hro3VNr^?V`riu.rrbM9/r]gDZp&G$Zr]0iUr`Admr_`Omr_D!<**"g4B:os8M?`C]4b,!<+PKUZ;@S +rW3#trbVQL$rWUaM +QiI%[qZ-V+rj2U_ +bQ$`)rnI;Mr]u,8kl:YXrjr)[rb;@2ra#MGrf[97 +h#IrgWnZrl4s4h>dK>rl"fRroa1YrbMB2rhf\prql]"re:>nrg<](i;`fQrm(M4rh'20rqP=Lrp'L$ +rkn`u +df5[6rj2J%r\a\GrkSNs +cN!&,rf[-YreuF. +W;j7)rbMB2rhb8H +gAdN>rq66rrW)p-rf@&[rm(N2 +dJrA/r^ltermu`$ +W;j7)rbMB2rhb8H +gAdE;r^cndrc.q/rji$[rq+_? +l2UbVrX&Q(rZZpn +V>mq&rbMB2rhb8H +gAdE;rfd3Zr^ZsLriH+>ro*kO +fDk+8rl4g8rb-l_ +V>mq&rbMB2rhb8H +gAdE;rn7/Kr[@c'rhTP*rmUl0rqtLM +l2UabqZ-VOJcDSH +[/^,@qZ-VmJcFI( +PlLaYqZ-U6re^WEric=Brn[SCrr1aR +l2UaJqZ-VhJcDSH +[/^,Hq[EJ(JcFI( +OoPCorW)p.re198rhob1rm^r0rqG7K +l2Ua2qZ-W,JcDSH +JcC<$ +OoPD2rW)p$rdk',rhf\*rlP/rrpKd^ +i;`'Araks,rmQGu +V>l,HJcCl4r^?V`rd"L#rh9=rrkn`froX4Prr(mW +l2U`gqZ-WLJcDSH +JcC<$ +OoPDSqZ-V:reg]Hri,n6rmLf&rpKd] +j8\BDrcS)l,HJcCl4r\XTSrW<(Freg]Erhf\/rlb;prp'LTrr)!Z +l2UaBqZ-VqJcDSH +JcC<$ +OoPD"rW)p*rd+R$rg<\^rk&0Krn7;5rpKd] +k5X]Grh]JlrfMd3 +V>l,HJcCu7rr2m"rW)p6rdFd'rg*P\rji$Frmq)-rp0RWrr20^ +l2UarqZ-V>JcDSH +JcC<$ +PlLaHqZ-U=rdXp)rg*P\rjMgCrmh#'rp'LTrqbmZ +l2UbFqZ-UWJcDSH +JcC<$ +PlL`bqZ-UPrdk'+rgEb_rj2U@rmLf!rp'LPrqG[W +k5YE7rW)p"rp>:: +W;hGKJcCu7raPa)r`&lLreg]Erhf\$rkeZ^rn7;7rpB^Yrr)3` +k5YF+qZ-VmJcD\K +JcC<$ +QiI'arYYV7rWW:>re^W3rgs+drjMgCrm(MrroO.Erq??g +m/Q5JrkeO4r`asR +W;ipurqHE?rl"g/JcFd1 +QiI'/qZ-U?rce6srh07frji$Frm(MrroF(Drq69c +m/Q5Jrql[*rW)p0rq*#dr`8n4rdg=6 +Z2agSr\XTTqZ-W^Y +j8]*mqZ-VQV#UE_qZ-V<]`7usqm6:E +jo;^froO+eo`5!6JcFd1 +RfEBTrXJi,rWN46re:?/rfd5VrkABKrmUl"ro="Crq$-_ +m/Q,Grnm\XrW)p5rq32hr^H\arcPmRrk/+.r_;MS +[/^,fqZ-U'rcJ.!roSe3 +j8YSJrdFYDr\FIpqhkBBrhf[nqni?`rn7;3rp'LRrq??j +n,M>Gra>U'rf*iUr^H\arcPmRrk/+.r_;MS +[/^,CqZ-VBJcFI( +ScA]XrX\u.rWE.2rdXg&rhKIiriu@;rn%/&roX4Frpp'^rr)dIUqZ-V4WrN&eqZ-V<]`7uDqZ-Ui +jo;^fraG[(rhtDJ +gAdiGrmq&TrW)p!raYqWqhkBCrhf[mrkSNMrlt>nqs+1Qrq??i +n,M5Dro*herW)ourj]*1r^H\arcRo6rh9=RrgEZ`roF'RrbVQrr`fAarljs5rjr)krbD<1r[dr/rlXg3rqui4 +!PlMMNW*/]2uZSOJH"m>Q-S\:B#eC +g[kH?s8Min0`(kL3T!<-=(mIL;V]))L,I/`X75PP6X_-&SGT2_]Df\H`;A6ej8S?ClhgDB +s4@;M;u6Ro:U'lu7Jd)aFnPe6-N4#E&cQqM!<*'!*W?iL!<*#uU%nZeB)W/:#Q"N#"8`H)MYR5N_u:PP +!;lir+9"%p"o&)s,l[*%s8KV,!<.6B4nSgS_YX]>"8`)t#Q"l-bkV5;?Maa%!WFMH;#XDm2Z +cMe^V!<*Z27/eM3!rDus,6%*)s8Kq8)>F42+9"%p"o&)s,l[*, +s/,hq^A\35!<**"?iG9HMZ/V7V>d@kXS]m6bPTulkPjcGmec_E +s4@;Mli%au!<.k^s8GIa!<.-6s8FAaC6 +8-)7Pro-qZ-U;rc%jfqhkB;qkj@oqni?Sqq:u-qs+1Rrq??f +m/PK5rg!?\rW<)!\c;XtqZ-V5J=!"ob".s8GIa!<.-6s8FAB!<2'Gs8HI(!hrjqt,r`A@araG[(rj_Y$rdXeFrb(Ttril8"rZ1e6rn[GOrXJl!m/R&]qZ-W@rr;tdqZ-Un +l2UbLqhP0H +!WW2?s8L^N3;Z.qs+1LqtC$a +i;^7crqHD2rW2lrrXSpugAh.@qZ-VI;P9^i#\M>dPQ!<+#3s8K.t!<+&=h=^gIrr,gm +!<-F%s8HO*!<-$uqssddao2MD!<*-#g&_6R +d/X+%r]^)WrY,98rc8!hpko':rh]LhriH+7qni?Tpt>Z,qs+1Iq"F^c +i;^%]rk\RNp]1:drnlZ6r^H\arcRo6r[.LBrp9"OraG[(rhT5erqHBtqZ-VFrjVm]qu?Z]rXo#- +!'^KK5Q8P!nc&IcfD^F%"oA!<-C*_>aE4h#n_XLA6Z*ReWcZZ2VT:_Z%[Pg&C%,lMgGQpAXpE +s/l>#o);DZ!<*6&F8kUis8GIa!<.-6s8FAB!<2?Os8HI(!c2LrB!<+SFs8M-Z3U$PC"TH()s8KV/ +(AIn/!WE>s!<-!t +rqufLs8K>'.JNoB&,oW/EW1F^MZ/S6T(o2^[.qN;b5U&jg&Bt*lMgAOpAXmA +s/5nrPPu*f!<*W1NrJk@s8GIa!<.-6s8FAB!<2?Os8HI(!PjB#5%uq!rc!pli-q`bl/1N!<+SCs8MloC]4M.!<,jpfBiP: +kl+3C!<*c5OT,7T +s53kUbPja!!<+VMD#SVWL%pQ)P5_6RVZ*Lm\bO&@c1ouki:uU:o(_qX +rn@CJs8L7A;>pao!<+2AWL3_bq>StE^AcLYqX=Fa +h#Z?fri5sBrc.prrjMh'VuQbRrhKIkrnu`7rn[RRrcnE^rf$ib +rql>cN +s+13$s6K^adJdhY#P\;u&cPQ)EW1:`LA6Z*OoD-NVZ*n#_#)"J_uA-[g&C"+lMg;JpAY$? +s.98ik5N3rL&NFl!<*Z2FT1$K +s+13$s7H?jq#7&f3qWLP$3!9jDuOt[L%pQ)MuK4@VZ*Ro](j/Aa8XWdg&B_)jnS-?nG)_V +r6bP6s8MHcc2P!IDuL[J!<*#u7K0Ih +qi:]-s+14Hs8LdPFT)sH!<*0$2Z@LkFoI!fMZ/P5QN!]TVZ*h!_"beGc1oukg]$C2lMgALpAXs7 +s-WicoD[A@^](22FoF)o"8)Zn)ZG6c +jHT[qs+C=P +qtgBjriQ/grW2ZlrY5>urbMLUrdb!)pko'7rhB1bri,n-pqm$Mrm^_rrn[S;qs+1Hrq-!Zrqst> +Q2gjXro3q$rjVm're^VHrY"l(rWE-rrj_t, +Sc=9@M?!S8rdFkd +)?:]K!<**".K3EJErLCaK_:6%OT(mIVZ*Lm[Jmu:_Z%dYf_aA$gA^+,lMg/Lp&"I^p\t*3 +s-!E]qYoaYfD`\eZi6ZtOoAnj.K0A@!<+5BM>lh9 +s+135s8N#s\Gf;' +"8i/q!<*Q/55o9qFT-agM"QZ)OT(gGVZ*LmZMqW6_Z%RSeG._rh#?O4lMg5HpAXjd +rl+nus8Murnc%MHd/Ll\Z2UNtRJq[76iIPf!<*6&>5ku0 +oW8(Ds-Wicec(@',4tp: +!kro:B#22GQEAH +!.k-+pko'/rg!JUoqq_frj;[>otp^Orn$qurnIG5q!.kErpojXrqHEj +\c7<^rr)iirpB^Frn%.mrl"fJricE6rgWoD +c2W@p]`8!(rji#Nr\FHSmf<=krZ_>+raPkIrd=^" +r/1?.rf@&HrhT4aric=3otp^Hrlb;lpt>Z$ro3V9rpKdYq"F^` +Zi>UVrVulnrpp'Rro*k.rm1S`rkJH>ri,mjrg!JArcnE=r[e$Wli@#.ral)-rp&2: +JcEgkrql]7rdt,6rW_fkrWE->r]:$[rc%j\rdOj#onid. +MZA\7PQ%3IVZ*RoZi7]3_Z%RSci2Pkg&B_)iVr*:lMg2Mo_A.Zp\t,q +s+14Hs8Murq>Tp`l2KZ@gA]V$b5Tct`OSaq7E;iH.-2n/n_XIK"luM=QQ'NrGFI +US@gaoqq_irj_sDpqm$JrlY5ip"B?!ro*k?q!.kFrpoaUrq`Yp +JcGBBrr)ijrp]pPro="5rn%.prl4rOrj;[(rhTO`rg!JBreg]1rb29trZ;%@joGAkr\a[Zrdk'GrlkB4 +!<7WMn,NCbrn[R_rfR1qr^Ql0rW;3^rXf&]r_*6)rcS3frdt-+nr!F+rfmDOrhB4c +rMKRlrj)O:otp^Jrm(Mpp"B?#roEb;rp0RRp%JC\rr/hq +JcG0^drYYV:h#IEl +!>l[Q55o*lMZ0jZec+k5p7D<`qu6'`iVpXlRf7I/:];gB#2K:Y%/qXR7fHosFT-UcJc:JrMZ/P5P5^mK +U$niZ +XK2E'rjVmBotp^MrmLJmrn@A1ro`t>rp9XSp%JC[rr&Pj +JcFs6rql]grpp'UrosFArnIG'rltG\rkSNErj)O(qkj@drgEbJrepc5reLJnr`f@kr\FHqrX\u/ +nGqhSrW<'0rYthar\OOr[Ih-r_!/orbqd\rd=]qreC!$repc;rg<\Wrh]1_ri5t'rji$D +!Q)_J_Z%LQc2Q;eg&Be+j7;L7mf)hNpAXgc +r1!_;s5j:[rr2fop\sgan,DYPk5O6:g&BG!aSs*V_Z%=L\c/l5WrAmpV>d+dR/W6IMti2/LAl]%CAq6462iJ8 +)ZU6H$O_LcoDnjmrY5>Xr\jaFr`B)6rce?frdOitre:?.m#(e(rg3VUrh]1_ri#h#rjVmA +o>1LErkn`VrmLJmrn@A0roWn=rp9XRrq5sXrqQKj +Q2cF8h#IBOrqQKdrpg!Sroj@ArnIG)rmUklrl=oQrkAB@rilC$rhf[krgs+Wrfd>CrepH,reUW0 +!JZ@.Hi@XV;#WTV.fL[l*W?oT')i@>#Q=e8!<*0$$iU_:(&f0O+T<_e1&aN=;uUA-FoH^dIK"fsL&Qqn +MZ/P5O8b@BR/WWTV"1D^W;a""[f3u/_Z%UTc2lDC +!7Ur"p"B?!ro3qAp$2PErpoXRrqcWm +O8je2f)PaKrqcWgrq-3Xrp'LErndY.rn7;&rmLehrl4iPrk/6g7/fCL3;t`52?#]:5lO7P9E%ig=T2Y+Ac?NF +ErL=_HiAElIK"`qJGt-!K)UE%K`6eiMZ/Y8OoC^HRf8rYU[4lWVuEgt[/R`,_Z%RSbPorh +fD4+rg&Bb*hu;d2lMg,KnG`(QpAXjd +qhG-%s3:TCr;QNkp&=L\m/H;Lk5OB>h>>n)fDa.rbPoK[_Z%CN]Df8ZQN!'HNmWa`M>i>1L]3,/M8bAONW,(>Q2[6OT)PJ`V2NW+t;OT(CAP5^^FQ2[-L +R/WNQRf8iVT)PA]T`1YaV2VuEarYl;!.\,O#=_!/`8_u@[Tao9Qad/MP`g&Be+i;Vj; +l1=0?lMg,Kmf)eVp%%hUq>U>D +s7-,?s1&+.rVlZmp\X[`o`"@ZmJH2Jkl0WAhu;F( +f`9P'e^aZIrm(MdrlP/Yo"tC@rk8rk&0CrkABHk/.,:rlP/_rm(Mkrmg8drnIG1ro="Ao'65?rpKdU +!VH!ao^DMQq#:-hrdk+8 +s+13Rs8N#squ6Ejp\X[`oD\7Ym/-)IkPjQAir7g8 +h#Z?frn@%urn.5$rmLekrlb;]rksc4rl"fVrlb;drmLesrn-JgrnIG-rnm_7ro="An*9o>rp]pZ +p@e@VrqQKhrr%EJ +huA9-U]:>lrql]iqtC$^rpp'Wrp9LH +r9F:FroX4?ro*k6rnRM,p"B>srn%%trm^qqrmC_lqp,2cqoeu]rlFuWrl+ZNrl+cTrlG)]qoeu`qp,2f +qpPJnqpk\trn-Jgrn@A,rndY5roF(@ror\4rp9XRrq#UPrq?Hh +q#L6ir;Q\H +s474$s-NcbrVlZmq>9mbp&=L^ +nH&1MrpTjRrp94@roj@Cro3q9rndY/N7n3brndY4ro="?roiM0rp9XPrpTjVrq$-^n+QbVrql]o +r;V?Jci8RrMuWeTrqcWh +!;?$Xrq-3\rp]pTrpB^Nn*9f6qr[n>qr@S5qr.P3qqh5*ptPf'rn[J.ro!\4ro=";ro="=qrRh?qrm)*rp9XO +rpTjVrpp'\k4\fLrql]n +nGe(>ci8RrJc>cNs8Mrqqu6Hkq>U0[pAXaao`"F\nG_kTm/H=6lMg,KmJcPQnG`"Xo],ZEq#:*gqYpEl +rT+!0s3L^rs+14;s8N#srVl]nqYp9hp[%VQp%\1YoDA+Xnb_hQn,)PPmJ-&ImJH>Nn+cDOnb_nVoD%tWp#,QCq#:*gqtpU,RpA=U`q#:-hqu6Qn +rk8>_s3L^rs+13]s8N#mrVQNfqtp6hq=XO[q>U6iqu6Hhqu6NmrVl`orVQSd +s+13rs+13$s+13$s+13rs+13$s+13$s+13rs+13$s+13$s+13rs+13$s+13$s+13rs+13$s+13$s+13rs+13$s+13$s+13rs+13$s+13$s+13rs*t~> +%APLeod +EI +80 0 0 2 8 63 cm +BI +/Width 222 +/Height 5 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +JcC<$ +JcC<$ +JcFp5 +JcC<$ +JcC<$ +JcFp5 +JcC<$ +JcC<$ +JcFp5 +JcC<$ +JcC<$ +JcFp5 +JcC<$ +JcC<$ +JcFp5 +J,~> +%APLeod +EI +83 0 0 2 301 63 cm +BI +/Width 230 +/Height 5 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +JcC<$ +JcC<$ +JcF'r +JcC<$ +JcC<$ +JcF'r +JcC<$ +JcC<$ +JcF'r +JcC<$ +JcC<$ +JcF'r +JcC<$ +JcC<$ +JcF'r +J,~> +%APLeod +EI +84 0 0 3 4 65 cm +BI +/Width 233 +/Height 8 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +JcD;@rr)inrqQBarq-3[rpKdP +!:'1@roj@Dro=":rnm_1rn?Dcrn.5%qpk\qqpPJkrm1ShrltGfrm1SkqpPJnqpk\trn,iUrn@A,rndY5roF(@ +rorS1rp9XQrpp']n+QbUrqc`p +r;ceIs2Y.js,m?\r;QQl +q"j[bp@eLXrpp'YrpTjRrp94@roj@Cro3h5rnm_3qq_/(rn>6BptP]%rndY3ro!e7ro="?roiM0rp9XPrp]pW +rq$-^m.UGRrqcWm +pA]^D`rCViM#[JRrqlcl +!VuQjq![qUo`"F\nG_kTmJcG-lMg&Ikl0cEk5OK>jS7a3jSn9?k54?@l-\eqm/HDOn,DkVo)A:DpAXgc +q#:0i +rTaE6s2P(is+14Gs8N#sr;QTmqYpU6ir;QZL +s+13is+13$s5a4Zrr2lqqu6Hkq#:$ApA=O[o`"IQo)A7Xo`"O,pAXjdq>U9jr;QZo +rlP1ks2P(is+13rs8Murr;QTmqYpBkqYU*`q"sg2pA=U`q#:*gq"smdqYpBkqYpBkrVlf' +s+13is+13$s.B>grqQHkr;QZor;QZl +re1<&s2P(is+13$s+13$s2P(h~> +%APLeod +EI +138 0 0 3 136 65 cm +BI +/Width 383 +/Height 8 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +rr)idrpB^BrnIG&rmHAC +QHk',J^/hlJ^/hln]q-+r^$Map]19pr^Qm^ +XNm6Zrn7;,rp'LNrq??e +rr;lqrql]]roj@?rn`4Z +QJ$iBJ_>V-J_>V-le29Kr`]:,o`4tKrg3W: +[Fpl!rn[S=rpKdZrqZQn +qu?ZorqHE`rp0RIJ`E'V +J`D=A +J`D=A +J`GkPrmLdfrY5#*rZ_>trnG'F +QK.u*rp'LNrq??e +rr;Zkrql]drpYL( +QKj%dJa.gOJa.gOhs14$rf@%*o`4t)rcS4l +_@rq??e +rr;Herqq?@ +QM,n'JbFZgJbFZgd.[IXr`9"#o`4tbrk$GK +MY@&F +rr;Herr.KH +QMc=3Jc()sJc()sb5D7rrc.oHo`4tKrhobk +f)1F'rr)ir +JcC<$ +JcC<$ +JcC<$ +JcE[grpfuHrZ1Y3rZM3%rq"qF +K`?Q~> +%APLeod +EI +83 0 0 3 301 65 cm +BI +/Width 230 +/Height 8 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +JcD;@rr)inrqQBarq-3[rpKdP +!:'1@roj@Dro=":rnm_1rn?Dcrn.5%qpk\qqpPJkrm1ShrltGfrm1SkqpPJnqpk\trn,iUrn@A,rndY5roF(@ +rorS1rp9XQrpp']n+QbUrqc`p +r;ceIs3Udss,m?\r;QQl +q"j[bp@eLXrpp'YrpTjRrp94@roj@Cro3h5rnm_3qq_/(rn>6BptP]%rndY3ro!e7ro="?roiM0rp9XPrp]pW +rq$-^m.UGRrqcWm +pA]^Dci8RrM#[JRrqlcl +!VuQjq![qUo`"F\nG_kTmJcG-lMg&Ikl0cEk5OK>jS7a3jSn9?k54?@l-\eqm/HDOn,DkVo)A:DpAXgc +q#:0i +rTaE6s3L^rs+14Gs8N#sr;QTmqYpU6ir;QZL +s+13rs+13$s5a4Zrr2lqqu6Hkq#:$ApA=O[o`"IQo)A7Xo`"O,pAXjdq>U9jr;QZo +rlP1ks3L^rs+13rs8Murr;QTmqYpBkqYU*`q"sg2pA=U`q#:*gq"smdqYpBkqYpBkrVlf' +s+13rs+13$s.B>grqQHkr;QZor;QZl +re1<&s3L^rs+13$s+13$s3L^q~> +%APLeod +EI +84 0 0 36 4 68 cm +BI +/Width 233 +/Height 100 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +JcFs6rr)i&r_!.kmf<>!r_`Z[rn,0h +JcE(Vrpp&prbqceli@#+re1:6 +q>Z$G`rCVimf3:Lre17lnc8Xqr^?aArlb<> +]DmH^VuQb[rhf[(rZC\2rWW:%rk8F5 +s+13is+14Bs8K_2`IJcF4! +KE(qlra>^3o`4t/rd=_) +Sc=9@N;rnRri?#b +!2Aroj?!rXST$rY#3Nrn3>3 +JcC<$ +rVulkrh06Mnc8YOrjICX +kl7%Mrq68CrXAH"r]'nNrqD6K +JcC<$ +o`+p:rb)3=o`4tZrm-/q +mf/mYrr)hfrYtV4rXAd=rm65r +!WW1Ns+14bs8KV/0),GG"o`B!<*<(HiESe +s8)bns8M$W9(`2`;Z>C>s8L19(]O*k +s+13$s5O(XqYlWV'DMS,(B1+\ +s8Dtts8J\j&,6/(3<$j]s8L"4!<2ZT +s+144s8L"7Zi9Kn +s4mYRnG[V1#l"E!;uZ*8 +s02P&l2FKR!<+# +rcJ.%rfaMb +`;fi"r^HS^rdU45 +_Z0VDrXJ`)rZV9kJcGTHrl+X4rq5sar[.UErXo/0 +!/^f7MZ*nP!<*#uR.C:VC\n,2]DfSE%e9W!"9+#Rrpp*g(&J"1m-OcO0DbbKj8T)Xhs:@B +"g7mAs8MrqD"n#0>5n;d +s2G$;Pl:d^!<,+[l[f*1s8L"4!<2Zas8FAE!<*T0 +FT;F>!<*'!*W?iL!<*#uU%SHbC\n,2ErHCB!<+2A,kV0:;=abd(&J"1m-OcO0DbbKj8T)XiVNu]!rDus#lY21 +&$GrEs8J;_"T&2u49"Q; +s2b6>O8&_S?iKkPs7H?j`V9H4p%A@a +-MIK@!!*".rpfmcrmgunqZ-U=p&G#,o`4u0rmpuHrnRL!p]1;tp&G"+qZ-W^m/R&5J=!-3!c9s8Ec1!<2?Os8F\K!<2$Os8G.X!<16A +s0_n+fDZoi!<,+[ +p8%`6s8KA("T&2uKn99is8L"4!<2Zas8FAB!<,^n +s6]jc)>aF5^A.a-C\n,2&H:h\s8MWh)Z'O6[J9e$(&J"1m-OcO0DbbKj7WHO4So-X +c)CZJs8LjR)>aF59E+gd +s3^lG_u9u>!<.+ls60L^`V9H4p%A@a-MmfB +[K-?ps8GRd!<0%os8Hg2!<-'as8Gpn!%/U&( +G,kSos8Mlo1A_(N=8r/Ls5j:[`V9H4p%A@a-MmfB +j8f5Is8HI(!aF5g$et?_"[p/iq!WM9Ws8FYJ!tMs8Hg2!S,T&Z`r>bhs8M<_`;[[R +kH=iOs8KY-!<+\OS,U&! +jMh/]s8IlP!tMs8Hg2!tMs8Hg2!EMZ0CM\c1%VkPkA+ +s69R_X8;nrMh1o) +s4IANC\n,2VLecU +s-3Q_qu-Wq!<*l8M>j"DXT$9>h#?dA +rRCl3s8J2Y!I/]$&QN!`[ZMqN6 +^tlcis8HL)!<1N" +s/#aHs+134s8GO! +!9sO_?i'j&fRa(! +s+13$s,m?\9"=rds8I6>!<0cb +s/#aHs+134s8FbP!<*#u3;u2B8H)?_=T2Y+Ac?QGEW1.\ +Gi3l3s8J,W!&lMgDS +qV_DsKs+137s8H0u!<-(!K`6l.S,T;a[/RrAd/M_tkl0rJpAY$N +s5s@\JboHHSUpg> +s+13$s-Ncbr;I?.!<*6&E;k^nMZ04HVZ+((_Z%j[g&C.5lMgMV +qW%Vsus8Mcldf.2aon!/' +s-Ncb`;9Q6-N85dMZ0=KVZ+.*_Z%m\g&C%2lMgJU +q;_M;s8Mur(&e44$i]Ohs8H'r(]JuM +s0;V'f)@N*!W)lrb(9TQ +s-NcbCAS#18,e#;MZ/P2VZ+7-_Z%s^g&C"1lMgGT +p>c25s8I3=!<.AAs8GIa!<.,Rs8M?]Zi8,/ +s0Vh*jo,Y\!<1,l +s5X-Js8M-Z#lXi'#QANG7Jd)aFhIbR]__^. +:$)D^s8Hp5!<.=r +s4[LDs8M3\$2sr("o`68JGY6'V#I7jZMVNiD3OSbpS])KSGa8=Qhkl0lHo`"R` +rT=.9s8HX-!<--$s8GIa!<.,Rs8K\.!<,^S +s0Vh*@J^'(W.G!& +s.KDka8Q2?!<*-#BE!GYMZ04HVZ*Lm_#D4McMQ;llMgGTpAY$Q +s5!_Sli%au!brZh:?r^$O*re^W3rfI#Sric49rmUl"rn[J:qtC$f +k5X08rjDV'rX]"dYlF\kqZ-V[5iraG[(rZ;&Xrdjs(rgiq`rk/6IrknWdro3qBrp0IT +j8[a2rau$-r]U8ZZiC"nqZ-VrYG8/rW3"lq#C>*rX/W0qZ-Ttq[3=[q#C?2rYbY7 +quH^Hq#C>"qZ-Trr[@bBr_reSrW;rsrY#4\ +o)J^7rYbJ2rY,9!r[%OFqZ-U>rp]U\rkn^Qp]1:8r\FHVp]1:=rp'F] +VZ6XsqZ-U#ral(SqhkB5qkj@qqni?Uqq:u4rp'LNqtB(M +fDkjBrZh:?rc,:Er^H\arcRo6r[.:aF58H.ZHs8Mcl\Gd0=!<,Ug +s/H%tdf'gT!<,RhF8h!mMZ04EVZ+4)_Z&*bg&Bb'lMgARpAXgH +s4%)Ja8Q>@!<.SYs8GIa!<.-6s8FA?!<0(js8L[G!<1[Es8K\.!<,^`s8IEC!<-(# +rW3#to)J^9rY#21rW<)Wq#C?)p]1:.rl+@+raG[(rj_P!re1.Krf6IIrmU`Er\sNMri,bprX]"^o)J]lqZ-U4 +q#C>@qZ-Utrr2Kgrm:WWrW)p!rnmhW +s/c8"g\r&e!<+GHEW1UfMZ/q=VZ*^p_Z%^Tg&Bt-lMgJUpAXpK +s3^lGXo8G"!O>4Jb]9E](c:)TBuUW@J^'(\FT\!Ac_lrk/+.r_<(crhK>jr`&jr +mJm0kqZ-UHq#C?)qZ-U2rr29araG[(rj^JXrk81/rZ1e6roa.YrWN5hm/R(%qi^s5rr;tmqZ-Upl2Uag +qZ-UH +!WW2*s8M?`./O#D(]J4LGlEQsMZ0:GVZ+"#_Z%^Tg&Bq,lMg>N +p>Gtos8IfN!<**"Uqcs/7Jd)aFnPe6-MmfBcKtT1@J^'(V"Oce]__^.:%ePcIJX$D +G5^LhjSmd1!r`3!#lai#s8KV,!<,=Ls8HI(!<0Lgs8MNeci1-IO8`Mc!rDus*Vf[6`;9Q62;8*0IJX$DG3S)e +fDYjN!<*6) +rr)os[f??jr\=9MrY#3Drce?uqhkB?qkj@oqni?Nrmgo!roj@Hrp0ITrqPFO +aT)6[p]1;Brr0n:r^H\arcRo6r[.LBroEGGraG[(rhT5erk/+.r_<(cr`8Xk +i;ilMqu?Z,qZ-Usl2U`kqZ-W*m/R'er`f@@klC]'q#C>fqZ-UkgAh.Qe,TOB +o`4Zqs8LFF*r>s:%K9o;G5d?qMZ/nb@lrgNfhqZ-UQroVE(r^H\arcRo6r[.LBrp9"OraG[(rhT5erk/+.r_<(cr_<7irdFac +iQ(rpqu?Z,qZ-Usl2U`kqZ-W*p&G$jr^6P_rWrKqrfR3&rp9O^rq-'frZ1e6rfR'Xr`.\Pr_<7irdEVC +!W2`oqnN11MuEeT!<*u;C&W8SL\lu.OoD3SVZ*t"_Z%LQfDF8#jSS6AnGDqY +qq_:es8KY0&GlJ,*<+%)s8GIa!<.-6s8FAB!<2?Os8HI(!N20OT)*RVZ*jq_Z%s[g&Bq,lMg/IpAXg? +s1JC2f)?ii!<**"H2dWhs8GIa!<.-6s8FAB!<2?Os8HI(!73O%K?"ds8Gjl!<1:Cs8JJa!<,m\ +s8MB^L]4(M +s8U.>rkn^[p]1:Src.pbpko'5rhTFgril17rm1JprnIG:qs+1QqtC$d +gAe_`rp'JJp]1:Frm'-qr^H\arcRo6r[.LBrp9"OraG[(rhT5erk/+.r_<(crmLcHrW)p$ro!kW +mJm0)qZ-VFq#C?)qZ-Usl2U`kqZ-W*p&G#"qZ-VSm/R'!qZ-U9q#C?lr[.LBrgNI.hY5Z97_Z%jUg&C.2lMg;MpAXj= +s0ht,rr.rW"oA'qZ-Urrql9drlP-DrW)p#rn7DQ +s3UfFao3aa!<+#`Arr)iOrh'0crW)p'rX/W)rW`AZ +rqZ?hr]'cTrYkd0rn7;>rkn_&qZ-U2roEkSrp0OqqZ-U[rke[)rqucIre(1RqZ-VN +r;Y@Jrpp&4rWE#trWE-brc%j^reUH/repcHpnn%spqm$Rpt>Z,qs+1Hrq-*]rqk4F +XoJCtrb;?5p]1:prnZ`:r^H\arcRo6r[.LBrp9"OraG[(rhT,br^HJ[re:.Irjr(E +r;c`qrW3!'o`4tkrr2Tjrjqt,r`A@araG[(rj_P!rc7Z3rWr9!rZD-ar`f-tr[mpFroO,>klC\crk.h%rjr(E +p]19orWi)rr`/ss +r;YRPrql\[rYG8/rYYWBrcA'ipko'1rh0.crhob)pqm$Rpt>Z+q!.kNqtC$a +dJp-EriZ5>p]19trc.r)m/R&RqZ-VR +!!)KgrYGKprr2Kgrjqt,r`A@araG[(rj_P!rqZPBrW`#qrW<'prp0R`rlk?QqZ-UIp&G$frbMK:nc8Ydrn$N: +roj>Rmf<>&rfI-Y +q>]@PrilALp]19qr]:$srd"Kupko':rh]Lhri,n/pqm$Vpt>Z+q!.kMqtC$`rr1=F +V#UGLrb)3>p]1:*rf$jOS,`K`rmq(_rm:Z@n,ECD +!SuT2Wr@8BEW1pr[f60%s8LpTU],QXGRGlD^^ +N;g9b +qt'jLs8L1?54npT./m`UErLUaMZ/V7T(o2^XT#s2_Z%LQdeMMpj7qp=o)&.[p\t0; +s.TJlk5MXb9`>4j!<+&=L]6OhrrN0" +JcC<$ +mf3:brjD`!rW2corZ(o@rc.pcre^E-rg!JYqkj@jrjDOrpfdWrr(%? +T)\fWrl"f%r\sKMrW`@(rkO6f +JcC<$ +o`+pTreCCpo`4t'r_EH4rd+R!pko'1rgih]ri?%2pqm$Orn%&#rnIG7q!.kLq"F^b +aT%b0rpg!9rjVlYr[RI=r[Ii/rpPmI +JcC<$ +qZ$Q1r`B(&o`4t#r^-U&rce?qpko'/rgEb^pnn%srk\KKrl"f_pt>Z%roj7Erp0RTq"F^c +`W)>*rq69FrkeZ9reLJ=rX8B!rX/X6rl^Q+ +JcCB& +!UoIWn,AIK.ImK<+oY::E;k(\KD:?'MuK(BV"ghdXT#m-_Z%XUebIhshu;m;lMg/Lo_A.Z +rPJT"s8Mlojo3U*]Dec.PQ"bb+S#O31]EpD +opu,6s,$dTb5PiN +$46b?o`4sur\jacrc8!creL0(rfd>Upnn%irj_a?rkn`\pt>Z$roEk>rpB^XqtC$`rr'V3 +P5kOZrp0R:rlP/Krh]U[rcS3#rWi)rrX]!FrmdeD +JcCo5rq-2Zr\O?M +q>gL7r_!0-rce?mre^E-repc?rh0%`rhob'rk\BHrlP/jpt>Z'ros4Crp]^VrqZQm +]`4&mrr2ogro=",rkn`Arhf[breg\SrYk>-r]^=MrnaaV +JcD5>rq69#rbMKDo`,!t +#65#.1&bPZErLIcL%U?&NW,@FV"ghdVuEt#_"beG`r=E]g&Bb*j7qp=m/HYPpAXlr +s,$dTq#9XZhYtn$_>^e?WW&RiPl>V#1]@RK!<+/@AH&P, +l+$bEs/5nrqu4S6K)S"6#4_cn(]Hl) +D/B8irc\9kreU6)rf[8Poqq_eriuI:pqm$Krm:>krnm_=q!.kIp%JC^ +Zi>d[rquccroX47rm(M\rji$1rhTO^reCDHrY=l%rW3!Nrc.qFrq"). +JcDtSrmLe,r_3;#mf<=ir[e%Prc8!a +!JH++K_:6%N;f4DU\1M`XT#[-_"beG`;\']f_F/!h>ZR3lMg/LoD&%Yq#:8s +s+C@Nrr2Wjmf);Hg&B7q`W!UNZMpg%TDk)SDuN0(+T;N4!<*-#48s^._Z'DY +s+13_s8LpTSGl[j(@2&#&H4<]9`Af+FoHmi +M#F0$iVRR7fHrtFT-UcJc:JuM?/Wn +!/piCrhB(_ri5t*rkS3DrlG)ep"B?!ro3V9rpKdXq"F^^rr&bp +JcGTHrr2omrpp'Sro="4rmh"mrkn`KriuI#rgs+Prf$i6rdauNr\XTeli@"pr\a[Zrdk'HrltH5 +mf.kdKPrn[R_rf[7sr^Ql0rW2HfrW`?Hr]pHhrc8!`rdb!'nr!F)rf[8N +!MuUmV>-qeVuEq"]Cj&?_u@p[eb.Vph#?=4l1jQCmJcbNpAXr_ +s+14Bs8Murq#9g_li-#FhYu1,dJh2c_#CeAX8\spTDk8XOoC:r0H%-%?e)#c_uAH%JcYl<2R +q#Ur]:$\rc%j]rdXp$mu%++rg3VUrh]Ff +rMKRorjDa?otp^KrmC_tp"B?%roWn=rp9XTp%JC] +S,\'>mf3:brqQK_rp9XJro3q4rmq(mrl"fIrilC$rhf[krgs+Trf?r6rc.p0r[.UTrW1pW +!!30&!raAB8,dB)PQ&)kcMlPsk5OrNrN6,!p\sLXhu;+(`;ZS3If_ArcnEqrfR2Nri#gjrg*P@rdOidral(3r_#brn-nsrnm_:p$2PBrpTjYp%JC[rr&#[ +JcFa0rql]irq69[rp9XJro!e1rm^qjrl+lRrk\TGrj;[+rhoamrhKI^rfmD@pko'-reCE!rau.+r]L0/ +!#GYP(]FVi!;Z]p!WEW/+T=+p6N0p_Ac?iOHN&?lJc:B&MU>O +s+14*s8Murq>U*eo)A(VlMflDh#?"+ec*nob5Tg\]\'eGdbmaSs*V_Z%@M\Gic4X7`@hU]-kaS,S]R +Oo^`/rf6Q,reUQ/re:?)re(3%rdk&urdFcgrbh^Jra>_/r`&kkr^ZrRr^6ZTr_#`rmU>hrn@J3 +huM^7j8S<6lMg5NoC_hVp\t'hrdk+D +s+13js8N#sqYp6go`"@Zm/-)IkPjK?hu;@/g&BV&df.Ji`q@4J_#CtH +\,j+Lrj2U.ri?$roqq_brhKIerh9=`rgs+[rg`tVqj@AKrg!JHrf[/?rf6l7qi1T9rfI,Brfd>Grg*PMqjIGQ +rg`tZqk3q_rhKIhl)+HXri?%&rj2U5rk&0El,*G>rlkAirn$_ornIG/ro="A +r9Eq>rpB^Trq5sXrqQKjJcG0< +JcEIarr)ikrq??arpp'Wrp9OIroX4?rn[S-rn7;&rmLekrlkA_rl"lS +o>:LArk&0=rj2U,ri5sqP,A5Vri5t#rj)O3rk&0Dk/.,:rlG)_rm(Mkrn$_orn@A.ro3q? +nEL#=rp9XRrq#UPrqcWnJcFs6 +JcE7[rr2onrqQBarq69]rpKdOqs+1Bro*k3p"9>t +!SH'(f)F+scMl#da8X$J_Z%CN^](tH]`,PB])K8>\,Ni8[JmN3ZMq-.Yl:g)Xo>F$WrB!sWrB'uXT#C% +YPtd*ZMq30[JmW6\,Nr;])K>@]`,YE^])%2_Z%LQa8X9]cMl8kf)F=dg&Bh,ir8'.lMg/Ln,DkY +p%8=Xo(N(WrqZQkJcFX- +JcDhOrr)inrqQBarq-3[rpKdOrp'LIroX4?ro!k7 +!T2f6h#?%#g&BV&eGdkpc2Poca8Oo)A=U +pA4L^q#:0irIP"" +s*t~> +%APLeod +EI +140 0 0 36 134 68 cm +BI +/Width 388 +/Height 100 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VXrhf\,rmC`/rql]n +n,It=JcC<$JcC<$JcC<$JcDSHr]'cTreLK@ri?%4rmLf*rq,[Z +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VMrg3V_rjr*Rrn[SBrqcWn +p&BUCJcC<$JcC<$JcC<$JcDSHr]'cTrdk'2rh9=srl"faro3qDrqQ0d +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VHrfI,OrilCpNSqZ-VErf$iFri,n-rl4rfrndY=rp]p_rqucq +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcCc1rn@@Greg]! +!0RAGP5_ujhZ!G(s-3Q_q#8hF +ZEaJ9rf-opNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcD2=rmh!# +mJuhYr[Ii]Q2ggjrW)pCS,`K"rYkJ1 +mK!4ireH() +RfB2`rp0HfrlTfl +lMm^\r]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJScA]:rY>2.rYti8re:?W +!29LgUAf]B5kk?Y#QDr7s8EB)!<+78s8M-Z')M\.$3"H9 +Mi.Rnri5sfrcS36rWMurrhk>I +Sc>Mcrk/+.r_7tD +lMm^\r]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJT`>#arYkP3rbDH- +p]('hrk&.PqZ-U=rpQ?VrX/W)rZn3;rr2maqZ-UZropNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcDDCre((JrWrM) +nc/=_rmq&ZqZ-V+S,`HprW)pCU&Y,GqZ-U/roa+W +nc/U[rYkY6re?"( +T`:hfrk/+.r_7tD +lMm^\r]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJU]:>YrXJ`)rcIT. +oDefVqZ-U/rr&GgrX/W)rZn3;ri5hqr`Ssp +mf39DqZ-UqJcDDC +V#UG,qZ-UiJcG$8 +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcDMFrbVH3rXJkm +mf2nYrpp$qqZ-W9n,NCHrfmClr\FHqr_rn712rm:ZErr)i2reLJLr^-U-ri?%ep&G$&rfR1pqZ-UHqiUm#JcG?A +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcDMFrYGA2rd=&3 +nGiL2qn)jgo)J]mrXeMurW3"5rpoFUroj>:mf<>irql[%rW)pCn,NCOr^H/Rra,TkeGoN-qZ-UR +pAaR\rr)`TroW\LrosDNli@#krpB(Prp0PPnc8XjrbVT4rY,82r]0ZOr[.LBrq-2WrWVimr]gDYrr2n>mf<>W +rqPj[rosDN +qZ-0era,Tko)J]dqZ-VXrnIE2mf<>=rp9F[ra5!lre5q' +oDbZer]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJVZ6Ycp]1<2 +li5W;rcIo8rX8]ir^d#!p]1:8rp94Urn.2np]19rrZV7BqZ-UkrWrK'rZq(7rkn^Qp]1:8r\FHVp]1:=rp&,8 +rl+X4rbqf5 +r;Y7Grkn^Qp]1:8r\FHVp]1:=rp'(SrmLcep]19orYP>0ra5X9rW)pXp&G"p]1rk&%-rW3"_rqlTmrr)i9rYGA2ra>F!rr)gCqZ-U4rj;[urr;uhrgNflp]1:=p&G$_rYbS5r^d%Kqu?Zi +rj_q@qZ-UffDkjErZLt9rYGKM +!OK*4Zi9LKs8MWh)>aF58H.ZHs8Mcl\Gd0=!<,U`s8N#s2>[CQ1&e!Jl2L_^l2HM<"S_ur3VEFO-MRT?%fW!t +q#:s8N#s1&CtMRIC1Tci+IP!<0_-s8K"p!<+,?jmrHNaSl8C1j0DbbKSF$:T>PeF",l%E=j8KAa!<*T0l0SHLD>O>4J^j`!eG`2A +!Vl`c!<+\OJ,\^'s8M'X$2sr(&cV%]s8Hm4!<.QEs8F8?!<07is8GXf!<,"Os8FAB!<--is8GXf!<,@Ss8IQG +!<.6O>4Jb]9EVY^Am!WL@4s8JG`!<,1Ts8K\.!<,]D +s6BW\s8G"T!<.BFMZ/tAVZ+"&_Z%p]g&C%2lMgDS +pAY#As/,hqKDPZJ +1?ebps8H^/!<.rAs8L^K!<+GEs8L[J!<*i7qX"7]bPM;=,l%E=U\b&j<9aG^ZMOY$/C+:ph#gqZ-Up +q#Bpbrj)D$r[djErhfPmr\j-CrlFs:r[[[Ark/+.r_7tD +lMm^\r]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJVZ6X]qZ-U1 +kl9N>r^?V`rlOF)rr2m"rW)p%rqucqrj;P&r^,lLrpfjcrZq:=rdFYDrc[Pern%,NrW)p'rr1"= +!W2`oqu4k>PQ"V^'(l8("9,e)s8IHD!<.0+jSmd1!r`3!#lai&s8L%8!<,j[s8LpQ!<,"Os8FAB!<0t+s8H@% +!aF5h#@?QOo#.X@-7Lg&,lS.,l%E= +e*I&'s8IfN2?&U7s8Mur!r`3!!WN&[s8Ei3!<1mTs8I*:!<.3)s8F,>!<+8=s8Fq+!<2E+ +s6fpdW;$AlZMX\$2Uha+mf*7cLALuMGj58-r;Hs#!<,"Os8FAB!<2?Rs8H@%!Y!s8GC_!<.HEs8Gai!<.E&a8Yu8s8JVe!<-`ts8LOF!<,"Os8FAB!<2?Rs8H@%!Q +s7?9i:&=qi2Yd=O?2FX$P3N#GNV`_T8GiGde,'.E&,u4fs8K.t!<+8=s8JJa!<,m\s8MB^L]4+*s8Min"T&2u +ZhFFbs8G[g!M6.!Os8G"T!<+8=s8LIG!WE)u"odKIs8I!7!<.K% +s8FVI!<-$u +rqZT^s8MNe#5\E"bPV>=df':H!<*0$iU$UDE;KY7J,B9FrVdK-!<0%fs8LaO&c2S-3VEFO-MmfBm-juR?Maa% +VXOQaO8AqVAbc<)df':H!<*0$ +iVWZGs8I!7!<.KCs8Jnm!<-g$s8M!S!<+8:s8K\.!<,]D +s6BW\s8G"T!<.BFMZ/tAVZ+"&_Z%p]g&C%2lMgDS +pAY#As.KDkb5M_E!<*-# +PP"nQs8MrqAbZ9)E:s81qYhi=!<.T=s8IWI!<+MJrqQNmS,33b)ZJ=Ys8JG]!<+8:s8HO*!<-$uqssddao2MD +!<*-#g=lT+\c)X,!<,^l +nbiFWs8LXL48T$W&,uD"s8HO*!<-$uqssddao2MD!<*-#gA(^IRJR!`,Q?Nls8MQf./3fA3VEFO-MmfBm-juR +?Maa%VXOQaO8AqVAbH*&A,?9*s8K,!!VcZo/,ilSO8cTg +OTCT,r`]:Cp]1:Qrq5j^ric(srac#OrqZQirlY4ap]1;mp&G$ir[n!IrY,:(rn@AMrmUjhrW)p!rXf&/rZq1: +rp0OqqZ-U[rke[)rqucIre(1RqZ-VNeGoMdp]1:>rd4aF +VuWdqeGee5`r;S/D>je;!<*?)_Y*s.li%Ln!<,4^_Z'*)r;P=IKDl&O!<.cHs8Mur2#@:P'E3[a])J`/B)WkN +!<*f3!<,"Os8FAB!<2?Rs8H@%!s!<-!trm^uI +p\n:d +!:'Xa#6)1%s8KV/(AIn/!WE>s!<-!trpp*gh#9A$!<+;Dc2.M?48&XQ-MmfBm-juR?Maa%VXOQaO8AqVAb,m# +])EK? +!<*#u#PA)rQ-J +$M4>r!<*'!1]GYZs8Kb3)Xd\**WF1Fs8MKd9C`)^%fVprs8G"W!<+84s8M6]9()cZ(B0Eprlb?@`Vqdk +!:^'g)#em]iT^CAkl+3C!<*c5OT,7Gs8MurOT$m3"o\N$"9'hM@K,Kiiqi`T9_JGb-MmfBm-juR?Maa%VXOQa +O8AqVAafZukl+3O +!;-?k(B0Eprpp*gVY^AmD!_3$iVNWS,kD!7`;UVK!<.\' +s7?8es8G"T!<.BFMZ/tAVZ+"&_Z%p]g&C%2lMgDS +pAY#As,m?\q>SkBWW%AG +E<)O1SXoc&rq5"FrqQK:rgWn(rdOjKrnRMPi;`f1rh070rg!K.rqtLMrn[RRrcnE^rf$ibrqjS4rr2oDri6'S +L&cu-@K'I0GlF$.\c2H]s8LjRWr@8BEW1pr[f60[s8Mfmf)G@;s8LRG!<-Dls8LjR +Wr@8BEW1pr[f60+s8Minf)ED_d/Nlm +s7?8es8G"T!<.BFMZ/tAVZ+"&_Z%p]g&C%2lMgDS +pAY#As+13$s+13$rrN0"rhK>jrd9e, +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +T`>!_q^VTpm/R&eqZ-W7 +O8je2JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcDDCrb)*.ra#!mrepXRrYtk9 +O8je2JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcDDCrkSL8qZ-UsrkJHlrmq(@raYp.qZ-W! +N;nJ/JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcD;@rg`*NrW<(P +M>r/,JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcD2=rk\S/rW;`mrWN3krkO9g +JcC<$ +V>pNSqZ-VCreg]Arhf\&rkeZ]rn7;2rp'LSrq??i +JcC<$ +JcC<$ +PlLaMrlkADriZ7@rp>:: +!<7WMJcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%EJJcC<$JcC<$JcC<$JcDSHr]'cTrd=^&rg3V]rjDaBrm:Ytro="Crq$-_ +rr%DLJZOF(JZOF(JZOU-rh=tF +!N`B!XSsRD!<.BFMZ/tAVZ+"&_Z%p]g&C%2lMgDS +pAY#A!.b-$!.b-$!.b-$!.b-?!<.BFMZ/tAVZ+"&_Z%p]g&C%2lMgDS +pAY#A!.b-$!.b-$!.b-$!.b-?!<.BFMZ/tAVZ+"&_Z%p]g&C%2lMgDS +pAY$iGlD+M=8kto9)_qH +3g;gu55os/MZ/tAVZ+"&_Z%p]g&C%2lMgDS +pAY$fs8M$W`;[.CW;`CfRJrHMOo(,h +MPZ2]MLgY9MLgY>MZ/G2>5fN7!<*6&55oks +MO'/!Qi; +VN@\S[Jn&Bd/M_tj8S?CoD\I_ +rUp3ilMfH8b5T6V\c0#9YPt^(We%+I +W.CmuW.CmuW.Co;W;`7b;uR7!!<*u;BE"[0 +W0!tW\,O8Dd/M_tj8S?CoD\I_ +rU9dcmJc,EfDa4tb5TN^`.>e( +_L]RT_L]RT_L]Si_Z%1HErI'O!<*W1AcB'E +_MZ50d/M_tj8S?CoD\I_ +rI=~> +%APLeod +EI +83 0 0 36 301 68 cm +BI +/Width 230 +/Height 100 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +JcFs6rr)i&r_!.kmf<>!r_`Z[rn,0h +JcE(Vrpp&prbqceli@#+re1:6 +q>Z$Gci8Rrmf3:Lre17lnc8Xqr^?aArlb<> +]DmH^VuQb[rhf[(rZC\2rWW:%rk8F5 +s+13rs+14Bs8K_2.e!!+Gp +!%7c,rpG@; +f)L=$rVulbrf@%+o`4snr_`IJcFO* +KE(qlra>^3o`4t/rd=_) +Sc=9@N;rnRri?#b +!3 +JcC<$ +rVulkrh06Mnc8YOrjICX +nc,!Vrq68CrXAH"r]'nNrqD6K +JcC<$ +o`+p:rb)3=o`4tZrm-/q +p]$ibrr)hfrYtV4rXAd=rm65r +!WW1Ns+14T2X8_28 +s+13$s5j:[X8XC>!<*<(HiESg +s/5nrir2RC!<,mqg:@7_rr/Dd3W9'G!<+bQNrJk9 +s+13$s5O(XqYlWV'DMS,(B1+a +s/Q+uT`,Js!<+tW`4#^Fg\s@l!<+AF +_!(gBs8L"7Zi9KIs8L"7Zi9L= +s4mYRnG[V1#l"E!;uZ*A +s02P&l2FKR!<+#a +RK/KJOoG+As8JklOoFtOs8MTdOo?R%!<.*>OoCRAs8L7>VZ,cXs8MHcM#L*I0E+iJLAoNcs8JJaOoG+As8Jkl +OoFtOs8MTdOo?R%!<.*>OoCQm +s2=s:kPdpF!<.J> +s2+g8[f-a5!<+/@eYiHHoDT3r!<.5ps8IcJ!<2H^s8E`5 +!;lirm-OcO0DbbKj8T)Xhs:@B"oJ?!C\n,2]DfSE%e9W!"9+#Rrpp*g(&J"1m-OcO0DbbKj8T)Xhs:@B +"k*Fes8MrqD"n#0>5n;m +s2G$;Pl:d^!<,+[l_OR[b4ku9db"NueG'%Cc1q>< +'`\73!<2?Os8F\K!<2$Xs8M!S$N:,(!<*9'$N:S5s8Hg2!<.$<$2X`%,5rk\!<,jds8Ec1!<2?Os8F\K!<2$X +s8M!S$N:,(!<*9'$N:Ra +s1A=1Q2Up`!<,(Z +l_jd(s8J)S!<-@)q4[ifW;$Alo[j-Bp\k3j!<0%os8E`5 +!;lirm-OcO0DbbKj7WHO4So-Xc1V,9C\7],DuS>bs8LgQHM@L>YkA%q(&J"1m-OcO0DbbKj7WHO4So-X +c-63ts8KV/&GlJ,0)k=> +s3(HAUAb2j!<._Bs8IcM!<*e^s8F):!<.lNs8E`5 +!;lirm-OcO0DbbKj7WHO4So-Xc1V,9C\Ro/8,iMYs8H4!!<+;DqY:*i(&J"1m-OcO0DbbKj7WHO4So-X +c-63qs8LXL+Su0<49"rU +s3CZDZi1+)!<.\>s8HR+!<,%,s8G7[!<-j1s8E`5 +!;lirm-OcO0DbbKj7WHO4So-Xc1V,9C\n,2&H:h\s8MWh)Z'O6[J9e$(&J"1m-OcO0DbbKj7WHO4So-X +c-63ns8LjR)>aF59E+gm +s3^lG_u9u>!<.,+s8H4!!<,dAs8F\K!<.TFs8E`5 +!;lirm-OcO0DbbKj7WHO4So-Xc1V,9C\n,2=6]ba;YpInOSJkT(&J"1m-OcO0DbbKj7WHO4So-X +c-63ks8LOI')M\.>lO`/ +s4%)JfDZ?Y!<-Pms8IKE!<+\"s8EN*!%/U&( +G-h5#s8Mlo1A_(N=8r/]s8Jbi!<*JXs8MH]!<1+8s8E`5 +!;lirm-OcO0DbbKj7WHO4So-Xc1V,9C\n,2XQfcaW;?So8bi>b(&J"1m-OcO0DbbKj7WHO4So-X +c-63es8Kb3#l=W$ +]=.aks8IEC!<+PKplGISa7oZ6lIZ(8_>"$0mJ-_\ +'`\73!<2?Os8FGD!<2$Os8G.X!<179s8Hg2!<0Xqs8KY-!<,I_s8Ec1!<2?Os8FGD!<2$Os8G.X!<16e +s/,hqQMU[])uf<: +s4[MPa8Q2?!<*6&dZ"5*m.^S[S_*o@=o/3u)tj71 +'`\73!<2?Os8Ei3!<2!Ns8G.X!<179s8Hg2!!<*#ukP5)V0)GYJW:Kufrr,[f!<2!Ns8G.X +!<16e +s.02h?i'j&?N0i= +s5!<2!Ns8IQG!<+YNWrBR+s8Hg,!<,RhUAj9RlMe!d-MmfB$3&QLs8Jki!<,jp +YPurKR/U(a"9&?#+o;9=iq5J=!iqRu)Jc9B_<;p2&FoIR' +g>)`+s8MlocMj=4TDlk0ptbuLlMea$_uAirs8Hg2!S,T&Z`r>bhs8M<_ +`;[[R +kL0Bss8KY-!<+\OS,U&! +jNdefs8IlP!t)s8Hg2!s8Min%/p8+$iZ1cWrC3@ +m*Yjqs8G:\!<1l, +s/>t)s8Hg2!EMZ0CM\c1%VkPkA4 +s69R_X8;nrMh1o) +s0Vh*C\n,2VLed$ +s-3Q_qu-Wq!<*l8M>j"DXT$9>h#?dA +rS@MQ:B%Eqq==RbP5>7YUk/QB +s0Vh*F8HCFWe(3( +s,m?\(&e44%fV.\PQ%HY_#Da\jo4r/ +s8)fq'E2kJbkV5;HM[^A]n-3[ +s+13$s,m?\0)bkM"9*oOMuKRP\GjSKh#?^? +qV_DE!<+PKMuMo=@f$0)eUdas +s+13$s,m?\8,E;cGlEU"T`21p_uA!<0cb +s/#aHs+134s8FbP!<**"HN&g$S,T;a^Ac=TgA^C:oD\^N +s8E#t-2rVtj7WHOOS]%WVh+lE +s+13$s,m?\)#aO7%K:\QMZ0%CVZ+4,`;\Hhjo4]I +pu_VU`9dO'W;?SoNe.5, +s+13$s-3Q_r;Hft!<*f6I/]$&QN!c\\c0JFfDab.li-VW +rTX@Hs8Kh2!<.%j +s/#aHs+137s8M*V!<+2AJ,Y?)QN!c\[Jn&Bec+>&lMgDS +qW\%Es8MBa!r`3!1P,S& +s+13$s-3Q_V#(/k2u\F.MZ0"BVZ*q$_Z&!_g&C:9nG`1H +s5s@\3;rpW!WM85 +s/>sKs+137s8H0u!<-(!K`6l.S,T;a[/RrAd/M_tkl0rJpAY$W +s5s@\JboHHSUpg> +s+13$s-Ncbr;I?.!<*6&E;k^nMZ04HVZ+((_Z%j[g&C.5lMgMV +qX"7Es8L1sus8Mcldf.2aon!/' +s-Ncb`;9Q6-N85dMZ0=KVZ+.*_Z%m\g&C%2lMgJU +q<\.Ds8Mur(&e44$i]Ohs8H'r(]JuM +s0;V'f)@N*!W)lrb(9TQ +s-NcbCAS#18,e#;MZ/P2VZ+7-_Z%s^g&C"1lMgGT +p?_h>s8I3=!<.AAs8GIa!<.,Rs8M?]Zi8,/ +s0Vh*jo,Y\!<1,l +s5X-Js8M-Z#lXi'#QANG7Jd)aFhIbR]__^. +:$)D^s8Hp5!<.=r +s4[LDs8M3\$2sr("o`68JGY6'V#I7jZMVNiD3OSbpS])KSGa8=Qhkl0lHo`"R` +rU9dBs8HX-!<--$s8GIa!<.,Rs8K\.!<,^S +s0Vh*@J^'(W.G!& +s.KDka8Q2?!<*-#BE!GYMZ04HVZ*Lm_#D4McMQ;llMgGTpAY$Z +s5!_Sli%au!brZh:?r^$O*re^W3rfI#Sric49rmUl"rn[J:qtC$f +n,M,ArjDV'rX]"dYlF\kqZ-V[5iraG[(rZ;&Xrdjs(rgiq`rk/6IrknWdro3qBrp0IT +m/P];rau$-r]U8ZZiC"nqZ-VrYG8/rW3"lq#C>*rX/W0qZ-Ttq[3=[q#C?2rYbY7 +quH^Hq#C>"qZ-Trr[@bBr_reSrW;rsrY#4\ +o)J^7rYbJ2rY,9!r[%OFqZ-U>rp]U\rkn^Qp]1:8r\FHVp]1:=rp'F] +VZ6XsqZ-U#ral(SqhkB5qkj@qqni?Uqq:u4rp'LNqtBCV +fDkjBrZh:?rc,:Er^H\arcRo6r[.:aF58H.ZHs8Mcl\Gd0=!<,Ug +s/H%tdf'gT!<,RhF8h!mMZ04EVZ+4)_Z&*bg&Bb'lMgARpAXgQ +s4%)Ja8Q>@!<.SYs8GIa!<.-6s8FA?!<0(js8L[G!<1[Es8K\.!<,^`s8IEC!<-(# +rW3#to)J^9rY#21rW<)Wq#C?)p]1:.rl+@+raG[(rj_P!re1.Krf6IIrmU`Er\sNMri,bprX]"^o)J]lqZ-U4 +q#C>@qZ-Utrr2Kgrm:WWrW)p!rnmhW +s/c8"g\r&e!<+GHEW1UfMZ/q=VZ*^p_Z%^Tg&Bt-lMgJUpAXpT +s3^lGXo8G"!O>4Jb]9E](c:)TBuUW@J^'(\FT\!Ac_lrk/+.r_<(crhK>jr`&jr +mJm0kqZ-UHq#C?)qZ-U2rr29araG[(rj^JXrk81/rZ1e6roa.YrWN5hm/R(%qi^s5rr;tmqZ-Upl2Uag +qZ-UH +!WW2*s8M?`./O#D(]J4LGlEQsMZ0:GVZ+"#_Z%^Tg&Bt-lMg>N +p?DV#s8IfN!<**"Uqcs/7Jd)aFnPe6-MmfBcKtT1@J^'(V"Oce]__^.:%ePcIJX$D +G5^LhjSmd1!r`3!#lai#s8KV,!<,=Ls8HI(!<0Lgs8MNeci1-IO8`Mc!rDus*Vf[6`;9Q62;8*0IJX$DG3S)e +fDYjN!<*6) +rr)os[f??jr\=9MrY#3Drce?uqhkB?qkj@oqni?Nrmgo!roj@Hrp0ITrqPaX +aT)6[p]1;Brr0n:r^H\arcRo6r[.LBroEGGraG[(rhT5erk/+.r_<(cr`8Xk +i;ilMqu?Z,qZ-Usl2U`kqZ-W*m/R'er`f@@klC]'q#C>fqZ-UkgAh.Qe,TOB +o`4Zqs8LFF*r>s:%K9o;G5d?qMZ/nN20OT)*RVZ*jq_Z%s[g&Bq,lMg/IpAXgH +s1JC2f)?ii!<**"H2dWhs8GIa!<.-6s8FAB!<2?Os8HI(!73O%K?"ds8Gjl!<1:Cs8JJa!<,m\ +s8MB^L]4(M +s8U.>rkn^[p]1:Src.pbpko'5rhTFgril17rm1JprnIG:qs+1QqtC$d +j8Z[irp'JJp]1:Frm'-qr^H\arcRo6r[.LBrp9"OraG[(rhT5erk/+.r_<(crmLcHrW)p$ro!kW +mJm0)qZ-VFq#C?)qZ-Usl2U`kqZ-W*p&G#"qZ-VSm/R'!qZ-U9q#C?lr[.LBrgNI.hY5Z97_Z%jUg&C.2lMg;MpAXjF +s0ht,rr.rW"oA'qZ-Urrql9drlP-DrW)p#rn7DQ +s3UfFao3aa!<+#`Arr)iOrh'0crW)p'rX/W)rW`AZ +rqZ?hr]'cTrYkd0rn7;>rkn_&qZ-U2roEkSrp0OqqZ-U[rke[)rqucIre(1RqZ-VN +r;Y@Jrpp&4rWE#trWE-brc%j^reUH/repcHpnn%spqm$Rpt>Z,qs+1Hrq-*]rqkOO +XoJCtrb;?5p]1:prnZ`:r^H\arcRo6r[.LBrp9"OraG[(rhT,br^HJ[re:.Irjr(E +r;c`qrW3!'o`4tkrr2Tjrjqt,r`A@araG[(rj_P!rc7Z3rWr9!rZD-ar`f-tr[mpFroO,>klC\crk.h%rjr(E +p]19orWi)rr`/ss +r;YRPrql\\rYG8/rYYWBrcA'ipko'1rh0.crhob)pqm$Rpt>Z+q!.kNqtC$a +gAe)NriZ5>p]19trc.r)m/R&RqZ-VR +!!)KgrYGKprr2Kgrjqt,r`A@araG[(rj_P!rqZPBrW`#qrW<'prp0R`rlk?QqZ-UIp&G$frbMK:nc8Ydrn$N: +roj>Rmf<>&rfI-Y +q>]@PrilALp]19qr]:$srd"Kupko':rh]Lhri,n/pqm$Vpt>Z+q!.kMqtC$`rr1XO +V#UGLrb)3>p]1:*rf$jOS,`K`rmq(_rm:Z@n,ECD +!SuT2Wr@8BEW1pr[f60%s8LpTU],QXGRGlD^^ +N;g9b +qt'jLs8L1?54npT./m`UErLUaMZ/V7T(o2^XT#s2_Z%LQdeMMpj7qp=o)&.[p\t0D +s.TJlk5MXb9`>4j!<+&=L]6OhrrN0" +JcC<$ +mf3:brjD`!rW2corZ(o@rc.pcre^E-rg!JYqkj@jrjDOrpfdWrr(@H +T)\fWrl"f%r\sKMrW`@(rkO6f +JcC<$ +o`+pTreCCpo`4t'r_EH4rd+R!pko'1rgih]ri?%2pqm$Orn%&#rnIG7q!.kLq"F^b +dJo^9rpg!9rjVlYr[RI=r[Ii/rpPmI +JcC<$ +qZ$Q1r`B(&o`4t#r^-U&rce?qpko'/rgEb^pnn%srk\KKrl"f_pt>Z%roj7Erp0RTq"F^c +cMs:3rq69FrkeZ9reLJ=rX8B!rX/X6rl^Q+ +JcCB& +!UoIWn,AIK.ImK<+oY::E;k(\KD:?'MuK(BV"ghdXT#m-_Z%XUebIhshu;m;lMg/Lo_A.Z +rQG5+s8Mlojo3U*]Dec.PQ"bb+S#O31]EpD +opu,6s,$dTb5PiN +$46b?o`4sur\jacrc8!creL0(rfd>Upnn%irj_a?rkn`\pt>Z$roEk>rpB^XqtC$`rr'q< +P5kOZrp0R:rlP/Krh]U[rcS3#rWi)rrX]!FrmdeD +JcCo5rq-2Zr\O?M +q>gL7r_!0-rce?mre^E-repc?rh0%`rhob'rk\BHrlP/jpt>Z'ros4Crp]^VrqZQm +`W)#!rr2ogro=",rkn`Arhf[breg\SrYk>-r]^=MrnaaV +JcD5>rq69#rbMKDo`,!t +#65#.1&bPZErLIcL%U?&NW,@FV"ghdVuEt#_"beG`r=E]g&Bb*j7qp=m/HYPpAXm& +s,$dTq#9XZhYtn$_>^e?WW&RiPl>V#1]@RK!<+/@AH&P, +l+$bEs/5nrqu4S6K)S"6#4_cn(]Hl) +D/B8irc\9kreU6)rf[8Poqq_eriuI:pqm$Krm:>krnm_=q!.kIp%JC^ +]`3`drquccroX47rm(M\rji$1rhTO^reCDHrY=l%rW3!Nrc.qFrq"). +JcDtSrmLe,r_3;#mf<=ir[e%Prc8!a +!JH++K_:6%N;f4DU\1M`XT#[-_"beG`;\']f_F/!h>ZR3lMg/LoD&%Yq#:9' +s+C@Nrr2Wjmf);Hg&B7q`W!UNZMpg%TDk)SDuN0(+T;N4!<*-#48s^._Z'DY +s+13_s8LpTSGl[j(@2&#&H4<]9`Af+FoHmi +M#F0$iVRR7fHrtFT-UcJc:JuM?/Wn +!/piCrhB(_ri5t*rkS3DrlG)ep"B?!ro3V9rpKdXq"F^^rr')$ +JcGTHrr2omrpp'Sro="4rmh"mrkn`KriuI#rgs+Prf$i6rdauNr\XTeli@"pr\a[Zrdk'HrltH5 +mf.kdKPrn[R_rf[7sr^Ql0rW2HfrW`?Hr]pHhrc8!`rdb!'nr!F)rf[8N +!MuUmV>-qeVuEq"]Cj&?_u@p[eb.Vph#?=4l1jQCmJcbNpAXrh +s+14Bs8Murq#9g_li-#FhYu1,dJh2c_#CeAX8\spTDk8XOoC:r0H%-%?e)#c_uAH%JcYl<2R +q#Ur]:$\rc%j]rdXp$mu%++rg3VUrh]Ff +rMKRorjDa?otp^KrmC_tp"B?%roWn=rp9XTp%JC] +V#Q#Gmf3:brqQK_rp9XJro3q4rmq(mrl"fIrilC$rhf[krgs+Trf?r6rc.p0r[.UTrW1pW +!!30&!raAB8,dB)PQ&)kcMlPsk5OrNrN6,!p\sLXhu;+(`;ZS3If_ArcnEqrfR2Nri#gjrg*P@rdOidral(3r_#brn-nsrnm_:p$2PBrpTjYp%JC[rr&>d +JcFa0rql]irq69[rp9XJro!e1rm^qjrl+lRrk\TGrj;[+rhoamrhKI^rfmD@pko'-reCE!rau.+r]L0/ +!#GYP(]FVi!;Z]p!WEW/+T=+p6N0p_Ac?iOHN&?lJc:B&MU>X +s+14*s8Murq>U*eo)A(VlMflDh#?"+ec*nob5Tg\]\'eGdbmaSs*V_Z%@M\Gic4X7`@hU]-kaS,S]R +Oo^`/rf6Q,reUQ/re:?)re(3%rdk&urdFcgrbh^Jra>_/r`&kkr^ZrRr^6ZTr_'rmUklrl=oQrkABBrj;[0riH*u +qPO1frh]Ugrgj%Vrg!JGrf)korepcEqiLf;qi(E3rf@&?rf[8ErfmDJrg3MM +rgWnWrgs"[rhBCerh\kVrhoarrilC.rjVm=rkI^7rl4r]rmLeto%F#srndY7roj:F +o]lGCrpg!\p%JC[rqq?I +p]#gE^An32rqQKdrq69]rpTjQqs+1Cro="6rn@A)rn%.trm(MerlG)X +!5e?ErkJHErjVm5riZ7#rhkpVrhoaqriZ7+rjMg)f`'J$df.PkbPoQ]`:CeE_>_4K^AbeE]DfD@\c0);[f3]6Zi790Z2V!,Y5YR&XT#7!WW&psX8]4"Y5YX( +Yl:s-Zi7B3[f3c8\c02>]DfJB^AbnH^uiN5`;[gVbPofddf.hsf]h)gh>ZF5jmVL6m/HJQncAFV +!;5pVrqHEfrqq?I +kl656XT/:urql]iqtC$]rpg!Urp0RKrosFEro=": +!8dh7hu;F1g@a.ufDa;!dJhDibPoQZ_jJ=<_u@^UbPoccdJhYpfBLufgA]n,hYuL5jSn<1lMg,Kmf)eV +p%J+UpAXjdqu6PF +s53j,~> +%APLeod +EI +84 0 0 1 4 104 cm +BI +/Width 233 +/Height 2 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +JcF4!rndXCr`B(6li@#6rbVS;rpAM@ +JcEpnrql]>reg\ErX/)orWN3\rd=^\rqYaW +JcEai +JcFX-rp'K]rac!Oli@#)ra5Z!roMN, +JcELbrqZQ/rdFc+rWMZirX/Woreg^"rr)Hg +JcEai +J,~> +%APLeod +EI +137 0 0 1 134 104 cm +BI +/Width 380 +/Height 2 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-Vlrk\Tirql]m +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-VYri#h0rm^r6 +J,~> +%APLeod +EI +83 0 0 1 301 104 cm +BI +/Width 230 +/Height 2 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +JcF4!rndXCr`B(6li@#6rbVS;rpAM@ +JcEpnrql]>reg\ErX/)orWN3\rd=^\rqYaW +JcF'r +JcFX-rp'K]rac!Oli@#)ra5Z!roMN, +JcELbrqZQ/rdFc+rWMZirX/Woreg^"rr)Hg +JcF'r +J,~> +%APLeod +EI +83 0 0 1 4 105 cm +BI +/Width 230 +/Height 2 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +JcE.XrqQK-rd=]*rX7N^ +!#5ML(&g;o@K)&]Xo?cJk5OrNrLs8jq#9UYhYt4fR/V.*9`?C<"lKC[!raAB?N-Yt +jMq4Ns3L^rs2"a7rVk%?M>g!D$0D6e%K8-^=T3dKU]/C7 +mf +%APLeod +EI +137 0 0 1 134 105 cm +BI +/Width 380 +/Height 2 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +JcC<$ +JcC<$ +JcC<$ +JcC<$ +V>pNSqZ-WE +p&BUCJcC<$JcC<$JcC<$JcDSHr]'cTrl>$,rqH +%APLeod +EI +83 0 0 1 301 105 cm +BI +/Width 230 +/Height 2 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +JcE.XrqQK-rd=]*rX7N^ +!#5ML(&g;o@K)&]Xo?cJk5OrNrLs8jq#9UYhYt4fR/V.*9`?C<"lKC[!raAB?N-Yt +jMq4Ns3L^rs2"a7rVk%?M>g!D$0D6e%K8-^=T3dKU]/C7 +mf +%APLeod +EI +83 0 0 2 4 106 cm +BI +/Width 230 +/Height 5 +/BitsPerComponent 8 +/Decode[ +0 1 +0 1 +0 1 +] +/Interpolate true +/DataSource cg_aiproc +ID +JcC<$ +JcC<$ +JcF'r +JcC<$ +JcC<$ +JcF'r +JcC<$ +kPtPSrosF;rm:Y_rj_s.rh'1RreLK!rbh^Er`9"ir]^_aZgA^76o)AU2s8Mfmli,f@e,IDe]Deu4UAgJXM#M&d62hi&!T3tW#6$%M +:B#G9[/TX6 +s+13rs+13^s8LpTSGlXi(?5Do#6$%M:B#>6 +R/ju&^VBd0JcGTHrq69;ri5sNra,R`rY+;lrWN3]rdOj_rqXn? +JcF'r +JcEdjrp0Q_rau-Rli@"drZD,.rbMLprjMg]rquEg +JcF[.rpB^*rh9=^Gli@#-raYr)rorqP +JcF'r +JcFj3rm1RVrXJ;rrW<'VrcnFTrqFJ5 +JcE(Vrn@@;r_re/mf<=fr]0tOrqH6g +JcF'r +JcG0E!`H +Sc=9@N;rnFrf6t* +!<<,o!<**":B%a%rIP"1 +s,@!WrVj8):&Y7f!<+AFO8eR6 +s+13&rrKgH +!58A3rWVrprZV8srp#(7 +li3.Jrq68JrZUq7rWW:%rk=Em +JcC<$ +rVul[re17lo`4sqr`T6IJcG9? +R/d0arh'0/o`4t5re1:7 +M#W&+JcGKErr)i&r_!.kp]19pra>`dJcGKE +S,`K"r\+$HrW<'lrj2V& +K)^E%JcG00rX/XPrpkX? +rVrSkrmUj;o`4tfrn4a[ro3pXrf[8+rdOj2ri?%Z +h#Ds*JcFs6rqZPSrY"u+rYPR#rqqEK +VuQbcrau-3p]1:Prkl;Hrr2ndr]0rYli@#?rf7!R +jo9o3JcFa0rpTi.rWi2ur[Ii] +LB":Rrk/4Np]1:;ri!:*rnIE8h#RF%rkRat +bl@[]qm6:lKE(qiqm6:l +bl?Jurnm]YrW2lrrW3":rq;r^QnIZiC$hrZV%:r\si3n,NCPr^cearWrMI +mf1N2r]L&Xrlp)qr]L&Xrlr[e +e,TFHrfR0eqZ-Ttrd=_A +Q2eDprqubArWE#trXAdmXoJB6qZ-TrrdFeCl2Ub^reg[WqZ-Uc +mf1N2r]L&Xrlp)qr]L&Xrlr[e +c2[dsr]9fSr\=E( +R/ai!rq$+^p]1:XrnadWroa7cqZ-V!h>dIip]1<7 +nc-i5r]L&Xrlp)qr]L&Xrlr[e +b5_J>reg[[qZ-U,rk+a# +^]4;mr\"'JrW`@_rr/qtrcS)dK>rXSf*r_)qargEhL +r/q!Qm/R']qiUmLrr;ugqiUk%qZ-V;rfR2Dqu?Z>qkjAUrr;ucreUPIr\+7JreCEum/R'RqiUmPm/R'] +qiUmLrr;ugqiUk%qZ-V;rfR2D +df78crmh!%qZ-TurgTMZ +_Z0VZrYbS5rY,:IVuQbfrXJ`)rcm&preC1JrpTX^rY5D5 +quH`_m/R&>ril(qrY>;1rp9"Or\+-Kro<\Or]L&Xrlr[e +\GuQ%rW`6"r]pJW +WrL9Brj_q2qZ-V1rqrAfreC:MrYNu^rZ:q:regLNrY5D5 +quH`_m/R&*Nrl"dLqZ-Ulrqrnu +cN!marX8T'rbIo$rabm+r]8I,r]g8[rb_H1rY5D5 +quH`_m/R&!KrltEPqZ-V( +XoHfKrm^o[qZ-V#rr/;br`]1!r_LrAr\+-KrdsqFrY5D5 +quH`_m/R&rqkOOroF%]qZ-VWp&G"+ +!!)osrp9+RrqQHrqZ-WTp&G"RqZ-W?p&G#,qZ-VBl2Ua7qZ-V5q#C=.qZ-W^n,NC^rWW0!ro3VNr]L&Xrlr[e +U]:>MrXJ`)rj]W@ +h>dJ/qZ-UPrq_BLrknL2rh&$HrcJ#;rYke1p&G"/ +!!)osrn6c?rkS:/ro3VNr]L&Xrlt-9rbMB2r_D_Xr^H\arhoPkrYbS5rn6c?rkS:/ro3VNr]L&Xrlr[e +T`>"bqZ-U0rq=,, +i;`fJrY#21rWN5QJc>`Mr_*+grY#4>j8]+9p]1;Xo)J\A +!!)osri#Dfrr2mlp]1rW3#]q#C=GqZ-Voo)J^gr_E4gro3VNr]L&X +rlr[e +ScA[aqZ-V3rr0e7 +i;`e6qZ-V)JcG]Krm:WFqZ-Tsrdb"Hm/R'-p]1:DrqZ-br_WXq +quH^jrquHhreL.Hro3VNr^?V`riu.rrbM9/r]gDZp&G$Zr]0iUr`Admr_`Omr_D!<**"g4B:os8M?`C]4b,!<+PKUZ;@S +rW3#trbVQL$rWUaM +QiI%[qZ-V+rj2U_ +bQ$`)rnI;Mr]u,8kl:YXrjr)[rb;@2ra#MGrf[97 +h#IrgWnZrl4s4h>dK>rl"fRroa1YrbMB2rhf\prql]"re:>nrg<](i;`fQrm(M4rh'20rqP=Lrp'L$ +rkn`u +df5[6rj2J%r\a\GrkSNs +cN!&,rf[-YreuF. +W;j7)rbMB2rhb8H +gAdN>rq66rrW)p-rf@&[rm(N2 +dJrA/r^ltermu`$ +W;j7)rbMB2rhb8H +gAdE;r^cndrc.q/rji$[rq+_? +l2UbVrX&Q(rZZpn +V>mq&rbMB2rhb8H +gAdE;rfd3Zr^ZsLriH+>ro*kO +fDk+8rl4g8rb-l_ +V>mq&rbMB2rhb8H +gAdE;rn7/Kr[@c'rhTP*rmUl0rqtLM +l2UabqZ-VOJcDSH +[/^,@qZ-VmJcFI( +PlLaYqZ-U6re^WEric=Brn[SCrr1aR +l2UaJqZ-VhJcDSH +[/^,Hq[EJ(JcFI( +OoPCorW)p.re198rhob1rm^r0rqG7K +l2Ua2qZ-W,JcDSH +JcC<$ +OoPD2rW)p$rdk',rhf\*rlP/rrpKd^ +i;`'Araks,rmQGu +V>l,HJcCl4r^?V`rd"L#rh9=rrkn`froX4Prr(mW +l2U`gqZ-WLJcDSH +JcC<$ +OoPDSqZ-V:reg]Hri,n6rmLf&rpKd] +j8\BDrcS)l,HJcCl4r\XTSrW<(Freg]Erhf\/rlb;prp'LTrr)!Z +l2UaBqZ-VqJcDSH +JcC<$ +OoPD"rW)p*rd+R$rg<\^rk&0Krn7;5rpKd] +k5X]Grh]JlrfMd3 +V>l,HJcCu7rr2m"rW)p6rdFd'rg*P\rji$Frmq)-rp0RWrr20^ +l2UarqZ-V>JcDSH +JcC<$ +PlLaHqZ-U=rdXp)rg*P\rjMgCrmh#'rp'LTrqbmZ +l2UbFqZ-UWJcDSH +JcC<$ +PlL`bqZ-UPrdk'+rgEb_rj2U@rmLf!rp'LPrqG[W +k5YE7rW)p"rp>:: +W;hGKJcCu7raPa)r`&lLreg]Erhf\$rkeZ^rn7;7rpB^Yrr)3` +k5YF+qZ-VmJcD\K +JcC<$ +QiI'arYYV7rWW:>re^W3rgs+drjMgCrm(MrroO.Erq??g +m/Q5JrkeO4r`asR +W;ipurqHE?rl"g/JcFd1 +QiI'/qZ-U?rce6srh07frji$Frm(MrroF(Drq69c +m/Q5Jrql[*rW)p0rq*#dr`8n4rdg=6 +Z2agSr\XTTqZ-W^Y +j8]*mqZ-VQV#UE_qZ-V<]`7usqm6:E +jo;^froO+eo`5!6JcFd1 +RfEBTrXJi,rWN46re:?/rfd5VrkABKrmUl"ro="Crq$-_ +m/Q,Grnm\XrW)p5rq32hr^H\arcPmRrk/+.r_;MS +[/^,fqZ-U'rcJ.!roSe3 +j8YSJrdFYDr\FIpqhkBBrhf[nqni?`rn7;3rp'LRrq??j +n,M>Gra>U'rf*iUr^H\arcPmRrk/+.r_;MS +[/^,CqZ-VBJcFI( +ScA]XrX\u.rWE.2rdXg&rhKIiriu@;rn%/&roX4Frpp'^rr)dIUqZ-V4WrN&eqZ-V<]`7uDqZ-Ui +jo;^fraG[(rhtDJ +gAdiGrmq&TrW)p!raYqWqhkBCrhf[mrkSNMrlt>nqs+1Qrq??i +n,M5Dro*herW)ourj]*1r^H\arcRo6rh9=RrgEZ`roF'RrbVQrr`fAarljs5rjr)krbD<1r[dr/rlXg3rqui4 +!PlMMNW*/]2uZSOJH"m>Q-S\:B#eC +g[kH?s8Min0`(kL3T!<-=(mIL;V]))L,I/`X75PP6X_-&SGT2_]Df\H`;A6ej8S?ClhgDB +s4@;M;u6Ro:U'lu7Jd)aFnPe6-N4#E&cQqM!<*'!*W?iL!<*#uU%nZeB)W/:#Q"N#"8`H)MYR5N_u:PP +!;lir+9"%p"o&)s,l[*%s8KV,!<.6B4nSgS_YX]>"8`)t#Q"l-bkV5;?Maa%!WFMH;#XDm2Z +cMe^V!<*Z27/eM3!rDus,6%*)s8Kq8)>F42+9"%p"o&)s,l[*, +s/,hq^A\35!<**"?iG9HMZ/V7V>d@kXS]m6bPTulkPjcGmec_E +s4@;Mli%au!<.k^s8GIa!<.-6s8FAaC6 +8-)7Pro-qZ-U;rc%jfqhkB;qkj@oqni?Sqq:u-qs+1Rrq??f +m/PK5rg!?\rW<)!\c;XtqZ-V5J=!"ob".s8GIa!<.-6s8FAB!<2'Gs8HI(!hrjqt,r`A@araG[(rj_Y$rdXeFrb(Ttril8"rZ1e6rn[GOrXJl!m/R&]qZ-W@rr;tdqZ-Un +l2UbLqhP0H +!WW2?s8L^N3;Z.qs+1LqtC$a +i;^7crqHD2rW2lrrXSpugAh.@qZ-VI;P9^i#\M>dPQ!<+#3s8K.t!<+&=h=^gIrr,gm +!<-F%s8HO*!<-$uqssddao2MD!<*-#g&_6R +d/X+%r]^)WrY,98rc8!hpko':rh]LhriH+7qni?Tpt>Z,qs+1Iq"F^c +i;^%]rk\RNp]1:drnlZ6r^H\arcRo6r[.LBrp9"OraG[(rhT5erqHBtqZ-VFrjVm]qu?Z]rXo#- +!'^KK5Q8P!nc&IcfD^F%"oA!<-C*_>aE4h#n_XLA6Z*ReWcZZ2VT:_Z%[Pg&C%,lMgGQpAXpE +s/l>#o);DZ!<*6&F8kUis8GIa!<.-6s8FAB!<2?Os8HI(!c2LrB!<+SFs8M-Z3U$PC"TH()s8KV/ +(AIn/!WE>s!<-!t +rqufLs8K>'.JNoB&,oW/EW1F^MZ/S6T(o2^[.qN;b5U&jg&Bt*lMgAOpAXmA +s/5nrPPu*f!<*W1NrJk@s8GIa!<.-6s8FAB!<2?Os8HI(!PjB#5%uq!rc!pli-q`bl/1N!<+SCs8MloC]4M.!<,jpfBiP: +kl+3C!<*c5OT,7T +s53kUbPja!!<+VMD#SVWL%pQ)P5_6RVZ*Lm\bO&@c1ouki:uU:o(_qX +rn@CJs8L7A;>pao!<+2AWL3_bq>StE^AcLYqX=Fa +h#Z?fri5sBrc.prrjMh'VuQbRrhKIkrnu`7rn[RRrcnE^rf$ib +rql>cN +s+13$s6K^adJdhY#P\;u&cPQ)EW1:`LA6Z*OoD-NVZ*n#_#)"J_uA-[g&C"+lMg;JpAY$? +s6Tdbq>TUWf`&qj[f3-&Q2ZI9F8f\K;Z8u]1&`aSs$TL&NFl!<*Z2FT1$K +s+13$s7H?jq#7&f3qWLP$3!9jDuOt[L%pQ)MuK4@VZ*Ro](j/Aa8XWdg&B_)jnS-?nG)_V +r6bQ;s8Mur2#[im!<+,?huurbMLUrdb!)pko'7rhB1bri,n-pqm$Mrm^_rrn[S;qs+1Hrq-!Zrqst> +o)J^WrX6I@rZV:!o)J^`ro3q$rjVm're^VHrY"l(rWE-rrj_t, +Sc=9@M?!S8rdFkd +)?:]K!<**".K3EJErLCaK_:6%OT(mIVZ*Lm[Jmu:_Z%dYf_aA$gA^+,lMg/Lp&"I^p\t*3 +s6p!eDScDQ+o^Hes8Mlol2K<6_u@"AU&L2RAH"%W!VHHl,Q/rMZ/_:SbT)]VuF"$^\G\F`r=K_g&Be+kkOHBmeHMTq#:93 +s6p!ehYmVu!<+2Aio^:?rVl?diVq4'_>^_=U]-\\If;_6%J9`##Q@p+[f5p# +s+13;s8LRJL]/Lj!!**$ +!!3'Er_i`7rd"Kqonra-rg*PWoqq_lrkA0Erl"f_p"B?)q!.kErpojXrqO8. +m/R&bb5hMdro2l9rr2okroa:8rm(MWrilC"rgj%Mra,RKnc8Xmr]^=4rl"g4 +\Gq-[V#UGRrh'0orYY2+rXAcd +!G,gBAc?cMIK##pMZ/\9S,T8ZVZ*LmYPu0/_Z%LQcMlJkg&Bh,kP4?Amf)nSpAXs% +s6TdbaNaeb,lZiUs8MopnG_MJg&B1o_#Cb@WrAalQi;=14oPfW!<*E+9E'tNdJj-` +s+13Ss8MEbXSuo4-0tX2+9#%7DuOt^ +JUmfPre^E-repc@rh/q]ri,n(rkJ-Crlb;mpt>Z$roEk>rp0RSq"F^]rr'D- +l2U`Fe,]InroDK,rr)iirpB^Frn%.mrl"fJricE6rgWoD +c2W@p]`8!(rji#Nr\FHSmf<=krZ_>+raPkIrd=^" +r/1?.rf@&HrhT4aric=3otp^Hrlb;lpt>Z$ro3V9rpKdYq"F^` +ZiBClrlb:&f)YdrroD0#rqcWbrp'LArn%.qrl4rPrj;[(rh9=Vrf$i$r_WSFrXA,nrZV8Pri#h] +g&HX'aT)8:rl4qhr]gAdmf<=hrZ(nur`B)TCo)\WW'7'^@fAB`r=<`fD+%ugA^(1l1jQCmJcbQpAXgc +rNQ=ps8N#sZMm&;!<+>Ejhh&srVlWlnc%ePiVqR1eGdVi_u@4GY5Y9sS,SNHMZ.So8cC%8#O_Zl!WFPI;Z:n> +Sc6P+ +l0JA#s4[MPp\r\AY5X7V@fALh%I=)o(B-,h;>tG3G5cphKDp_uMZ/Y8R/s(X +!2K4ariH+/rk\BHrkn`Xrm^VornIG2roj.Brp9XTp%JC] +WrMtrrqHD[r[7@=rXIccr[.X+\c;[-rqHE]rp'LCrnRM)rm(M_rkAB=ri,mmrg`tQrf-o7reLJdr^-T*rWD9_ +rX\ucr`fAQrhf\=rpg'h +JcG3=rqucOrjVlhrbML&rZM1AjoGAlr\"1Crb;@Srd=]sre^3'rf-oArgWn] +q54+frhob$rk8!Arl4r_rn$hrrn[S7p$2PBrpf[TrqZQm +VuQb]rdat_o`4t@rg`uVrY+Dor[7^-YlF_"rq69[rp0RGrnm_/rmLefrk\TDriQ0trhf[hrgWnOrf-o7re18Y +r]:#qrWCsV +!#P_R)#c_uAH%JcYl<2PlMgLKs8Mopn,D;F_#Bf%FoG29.K0P-!<*E+-iQU7A,^TLHN&KpM"6H&MuJn=RJroK +V?*d" +!3H1/rkS3DrlP/fp"B>uro*k>p$2PCrpf[TrqQKk +U&Y)to`4tWrjDb)qu?YDklC]2ro^3Zrql]grpp'UrosFArnIG'rltG\rkSNErj)O(qkj@drgEbJrepc5reLJn +r`f@kr\FHqrX\u/ +nGqhSrW<'0rYthar\OOr[Ih-r_!/orbqd\rd=]qreC!$repc;rg<\Wrh]1_ri5t'rji$D +!Q)_J_Z%LQc2Q;eg&Be+j7;L7mf)hNpAXgc +r1!``!<*-#>5le;s8M9^#O_Zl.K8M(s8N#squ6?ho`"=Yli-&GhYu4-df.>e_u@OP^](eCZ2Ud&VZ*FkTDk5W +OoC:6MZ/A0J,X3^uro!eC +repH,reUW0 +!JZ@.Hi@XV;#WTV.fL[l*W?oT')i@>#Q=e8!<*0$$iU_:(&f0O+T<_e1&aN=;uUA-FoH^dIK"fsL&Qqn +MZ/P5O8b@BR/WWTV"1D^W;a""[f3u/_Z%UTc2lDC +!7Ur"p"B?!ro3qAp$2PErpoXRrqcWm +O8o4Lj8],0rW2cor[Rp2N;rnVrqcWgrq-3Xrp'LErndY.rn7;&rmLehrl4iPrk/6g7/fCL3;t`52?#]:5lO7P9E%ig=T2Y+Ac?NF +ErL=_HiAElIK"`qJGt-!K)UE%K`6eiMZ/Y8OoC^HRf8rYU[4lWVuEgt[/R`,_Z%RSbPorh +fD4+rg&Bb*hu;d2lMg,KnG`(QpAXjd +qhG.,s8G[g!<+MJl%/j7s8Mrqq>U'dnc%nSlMfrFir7d2g&BV&d/M5f`W!aR_>_(G[f3T3Y5YEp +VYm=jU]-nbS,S`QP5^KhMZ/J3M#N2/M#N7MMZ/V7OoCXFRf8oXUAh"RVZ*OnXo>U)[Jmf;_",AA`W"'[d/MYf +g&B\+ +hVJ7hro3q?o'65ArpoXRrqZQlJc>`M +h>dJhrW)pKrp#(7p](6krqcWfrq$-Wrp0IHroO.2NW+t;OT(CAP5^^FQ2[-L +R/WNQRf8iVT)PA]T`1YaVOo)A=QpAXmerIP"= +s+13[s8MurqYp6dpAX^`nG_hPlMg#Hj8Rs8h"B># +eH+4NrmLekrlb;_rl+HFrkJHGrk/->rj_s9qmHF1rj)O.rilC*riQ1$ri5ssrhoamrhoapri5suriH+%ric=* +rj)O1rjDa6rjVm;rjr*@rk8U-cpAX[_nG_eOlMfuGjSn0< +hZ2I5h;/(ap"B>srmq(srm(Mdrl>#VN5GS4rl>#]rm(Mkrmq)$l.Q'jrnRM1ro3q;roih9rpB^Srq,pX +qY'p`rqcWmJcFX- +JcDMFrr)inrqQBarq69]rpTjQ +qWe"Droj@Dro=":rnm_1rn@%urn.5%qpk\qrmLenrm:Pfrlt>`rlY5]qoA]Tpr*0NqoA]WrlY,]rlt>crm:Pj +rm^hqrn%/%l.Q'irnRM0ro*k;roX4El0A97rp]pYn+QbT +!VuNkq>UroO%;qr7M3rnmV0qqUu$rnRM/qqh>1qr.P7ro3q;roEtU6ir;QZU +s+13rs+13$s6]jcrr2lqqu6Hkq#:$SpAXa[o`"IZo)A1VnGD\Omf)VKm/HDLmf)\MnG_tTo)A7Uo`"OApAXjdq>U9gr;Q]D +s+13rs+13$s4IANrVl`lqYp +%APLeod +EI +ep +end +%%Trailer +%%EOF diff -r 21684fe357d9 -r 4b0a368cc858 presentation/fig/code.graffle --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/presentation/fig/code.graffle Mon Sep 26 10:38:35 2011 +0900 @@ -0,0 +1,575 @@ + + + + + CanvasColor + + a + 1 + w + 1 + + ColumnAlign + 0 + ColumnSpacing + 3.600000e+01 + GraphDocumentVersion + 2 + GraphicsList + + + Class + LineGraphic + Head + + ID + 8 + + ID + 11 + Points + + {287.357, 108} + {360.284, 140.935} + + Style + + stroke + + HeadArrow + FilledArrow + LineType + 1 + TailArrow + 0 + + + Tail + + ID + 3 + + + + Class + LineGraphic + Head + + ID + 9 + + ID + 10 + Points + + {286.894, 72} + {360.228, 39.0346} + + Style + + stroke + + HeadArrow + FilledArrow + LineType + 1 + TailArrow + 0 + + + Tail + + ID + 3 + + + + Bounds + {{351, 9}, {72, 36}} + Class + ShapedGraphic + ID + 9 + Shape + Circle + Text + + Text + {\rtf1\mac\ansicpg10001\cocoartf102 +{\fonttbl\f0\fswiss\fcharset77 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Output Interface} + + + + Bounds + {{351, 135}, {72, 36}} + Class + ShapedGraphic + ID + 8 + Shape + Circle + Text + + Text + {\rtf1\mac\ansicpg10001\cocoartf102 +{\fonttbl\f0\fswiss\fcharset77 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Output Interface} + + + + Bounds + {{351, 72}, {72, 36}} + Class + ShapedGraphic + ID + 7 + Shape + Circle + Text + + Text + {\rtf1\mac\ansicpg10001\cocoartf102 +{\fonttbl\f0\fswiss\fcharset77 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Output Interface} + + + + Bounds + {{54, 72}, {72, 36}} + Class + ShapedGraphic + ID + 6 + Shape + Circle + Text + + Text + {\rtf1\mac\ansicpg10001\cocoartf102 +{\fonttbl\f0\fswiss\fcharset77 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Input Interface} + + + + Class + LineGraphic + Head + + ID + 7 + + ID + 5 + Points + + {315, 90.4191} + {351.002, 90.2095} + + Style + + stroke + + HeadArrow + FilledArrow + LineType + 1 + TailArrow + 0 + + + Tail + + ID + 3 + + + + Class + LineGraphic + Head + + ID + 3 + + ID + 4 + Points + + {125.999, 90.1326} + {180, 90.0737} + + Style + + stroke + + HeadArrow + FilledArrow + LineType + 1 + TailArrow + 0 + + + Tail + + ID + 6 + + + + Bounds + {{180, 72}, {135, 36}} + Class + ShapedGraphic + ID + 3 + Shape + Rectangle + Text + + Text + {\rtf1\mac\ansicpg10001\cocoartf102 +{\fonttbl\f0\fswiss\fcharset77 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Code Segment} + + + + GridInfo + + HPages + 1 + ImageCounter + 1 + IsPalette + NO + Layers + + + Lock + NO + Name + 1 レイヤー + Print + YES + View + YES + + + LayoutInfo + + AutoAdjust + YES + MagneticFieldCenter + {0, 0} + + MagnetsEnabled + YES + PageBreakColor + + a + 1 + w + 0.333333 + + PageBreaks + YES + PageSetup + + BAt0eXBlZHN0cmVhbYED6IQBQISEhAtOU1ByaW50SW5mbwGEhAhOU09iamVjdACFkoSE + hBNOU011dGFibGVEaWN0aW9uYXJ5AISEDE5TRGljdGlvbmFyeQCUhAFpFpKEhIQITlNT + dHJpbmcBlIQBKxBOU0pvYkRpc3Bvc2l0aW9uhpKEmZkPTlNQcmludFNwb29sSm9ihpKE + mZkOTlNCb3R0b21NYXJnaW6GkoSEhAhOU051bWJlcgCEhAdOU1ZhbHVlAJSEASqEhAFm + nSSGkoSZmQtOU1BhcGVyTmFtZYaShJmZAkE0hpKEmZkPTlNQcmludEFsbFBhZ2VzhpKE + nZyEhAFjngGGkoSZmQpOU1NhdmVQYXRohpKEmZkAhpKEmZkNTlNSaWdodE1hcmdpboaS + hJ2cn50khpKEmZkUTlNWZXJ0aWNhbGx5Q2VudGVyZWSGkoSdnISEAXOfAYaShJmZCU5T + UHJpbnRlcoaShISECU5TUHJpbnRlcgCUkoSZmQhwaGFzZXJscIaGkoSZmQ9OU1NjYWxp + bmdGYWN0b3KGkoSdnJ+dAYaShJmZC05TRmlyc3RQYWdlhpKEnZyrnwGGkoSZmQ1OU011 + c3RDb2xsYXRlhpKjkoSZmRVOU0hvcml6b25hbFBhZ2luYXRpb26GkoSdnKufAIaShJmZ + Ck5TTGFzdFBhZ2WGkoSdnISXl4J/////hpKEmZkMTlNMZWZ0TWFyZ2luhpKEnZyfnSSG + koSZmQ5OU1BNUGFnZUZvcm1hdIaShISEBk5TRGF0YQCUl4EfGIQHWzc5NjBjXTw/eG1s + IHZlcnNpb249IjEuMCIgZW5jb2Rpbmc9IlVURi04Ij8+CjwhRE9DVFlQRSBwbGlzdCBQ + VUJMSUMgIi0vL0FwcGxlIENvbXB1dGVyLy9EVEQgUExJU1QgMS4wLy9FTiIgImh0dHA6 + Ly93d3cuYXBwbGUuY29tL0RURHMvUHJvcGVydHlMaXN0LTEuMC5kdGQiPgo8cGxpc3Qg + dmVyc2lvbj0iMS4wIj4KPGRpY3Q+Cgk8a2V5PmNvbS5hcHBsZS5wcmludC5QYWdlRm9y + bWF0LlBNSG9yaXpvbnRhbFJlczwva2V5PgoJPGRpY3Q+CgkJPGtleT5jb20uYXBwbGUu + cHJpbnQudGlja2V0LmNyZWF0b3I8L2tleT4KCQk8c3RyaW5nPmNvbS5hcHBsZS5wcmlu + dGluZ21hbmFnZXI8L3N0cmluZz4KCQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQu + aXRlbUFycmF5PC9rZXk+CgkJPGFycmF5PgoJCQk8ZGljdD4KCQkJCTxrZXk+Y29tLmFw + cGxlLnByaW50LlBhZ2VGb3JtYXQuUE1Ib3Jpem9udGFsUmVzPC9rZXk+CgkJCQk8cmVh + bD43LjIwMDAwMDAwMDAwMDAwMGUrMDE8L3JlYWw+CgkJCQk8a2V5PmNvbS5hcHBsZS5w + cmludC50aWNrZXQuY2xpZW50PC9rZXk+CgkJCQk8c3RyaW5nPmNvbS5hcHBsZS5wcmlu + dGluZ21hbmFnZXI8L3N0cmluZz4KCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tl + dC5tb2REYXRlPC9rZXk+CgkJCQk8ZGF0ZT4yMDAzLTAyLTA0VDIxOjUwOjM0WjwvZGF0 + ZT4KCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC5zdGF0ZUZsYWc8L2tleT4K + CQkJCTxpbnRlZ2VyPjA8L2ludGVnZXI+CgkJCTwvZGljdD4KCQk8L2FycmF5PgoJPC9k + aWN0PgoJPGtleT5jb20uYXBwbGUucHJpbnQuUGFnZUZvcm1hdC5QTU9yaWVudGF0aW9u + PC9rZXk+Cgk8ZGljdD4KCQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQuY3JlYXRv + cjwva2V5PgoJCTxzdHJpbmc+Y29tLmFwcGxlLnByaW50aW5nbWFuYWdlcjwvc3RyaW5n + PgoJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC5pdGVtQXJyYXk8L2tleT4KCQk8 + YXJyYXk+CgkJCTxkaWN0PgoJCQkJPGtleT5jb20uYXBwbGUucHJpbnQuUGFnZUZvcm1h + dC5QTU9yaWVudGF0aW9uPC9rZXk+CgkJCQk8aW50ZWdlcj4xPC9pbnRlZ2VyPgoJCQkJ + PGtleT5jb20uYXBwbGUucHJpbnQudGlja2V0LmNsaWVudDwva2V5PgoJCQkJPHN0cmlu + Zz5jb20uYXBwbGUucHJpbnRpbmdtYW5hZ2VyPC9zdHJpbmc+CgkJCQk8a2V5PmNvbS5h + cHBsZS5wcmludC50aWNrZXQubW9kRGF0ZTwva2V5PgoJCQkJPGRhdGU+MjAwMy0wMi0w + NFQyMTo1MDozNFo8L2RhdGU+CgkJCQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQu + c3RhdGVGbGFnPC9rZXk+CgkJCQk8aW50ZWdlcj4wPC9pbnRlZ2VyPgoJCQk8L2RpY3Q+ + CgkJPC9hcnJheT4KCTwvZGljdD4KCTxrZXk+Y29tLmFwcGxlLnByaW50LlBhZ2VGb3Jt + YXQuUE1TY2FsaW5nPC9rZXk+Cgk8ZGljdD4KCQk8a2V5PmNvbS5hcHBsZS5wcmludC50 + aWNrZXQuY3JlYXRvcjwva2V5PgoJCTxzdHJpbmc+Y29tLmFwcGxlLnByaW50aW5nbWFu + YWdlcjwvc3RyaW5nPgoJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC5pdGVtQXJy + YXk8L2tleT4KCQk8YXJyYXk+CgkJCTxkaWN0PgoJCQkJPGtleT5jb20uYXBwbGUucHJp + bnQuUGFnZUZvcm1hdC5QTVNjYWxpbmc8L2tleT4KCQkJCTxyZWFsPjEuMDAwMDAwMDAw + MDAwMDAwZSswMDwvcmVhbD4KCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC5j + bGllbnQ8L2tleT4KCQkJCTxzdHJpbmc+Y29tLmFwcGxlLnByaW50aW5nbWFuYWdlcjwv + c3RyaW5nPgoJCQkJPGtleT5jb20uYXBwbGUucHJpbnQudGlja2V0Lm1vZERhdGU8L2tl + eT4KCQkJCTxkYXRlPjIwMDMtMDItMDRUMjE6NTA6MzRaPC9kYXRlPgoJCQkJPGtleT5j + b20uYXBwbGUucHJpbnQudGlja2V0LnN0YXRlRmxhZzwva2V5PgoJCQkJPGludGVnZXI+ + MDwvaW50ZWdlcj4KCQkJPC9kaWN0PgoJCTwvYXJyYXk+Cgk8L2RpY3Q+Cgk8a2V5PmNv + bS5hcHBsZS5wcmludC5QYWdlRm9ybWF0LlBNVmVydGljYWxSZXM8L2tleT4KCTxkaWN0 + PgoJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC5jcmVhdG9yPC9rZXk+CgkJPHN0 + cmluZz5jb20uYXBwbGUucHJpbnRpbmdtYW5hZ2VyPC9zdHJpbmc+CgkJPGtleT5jb20u + YXBwbGUucHJpbnQudGlja2V0Lml0ZW1BcnJheTwva2V5PgoJCTxhcnJheT4KCQkJPGRp + Y3Q+CgkJCQk8a2V5PmNvbS5hcHBsZS5wcmludC5QYWdlRm9ybWF0LlBNVmVydGljYWxS + ZXM8L2tleT4KCQkJCTxyZWFsPjcuMjAwMDAwMDAwMDAwMDAwZSswMTwvcmVhbD4KCQkJ + CTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC5jbGllbnQ8L2tleT4KCQkJCTxzdHJp + bmc+Y29tLmFwcGxlLnByaW50aW5nbWFuYWdlcjwvc3RyaW5nPgoJCQkJPGtleT5jb20u + YXBwbGUucHJpbnQudGlja2V0Lm1vZERhdGU8L2tleT4KCQkJCTxkYXRlPjIwMDMtMDIt + MDRUMjE6NTA6MzRaPC9kYXRlPgoJCQkJPGtleT5jb20uYXBwbGUucHJpbnQudGlja2V0 + LnN0YXRlRmxhZzwva2V5PgoJCQkJPGludGVnZXI+MDwvaW50ZWdlcj4KCQkJPC9kaWN0 + PgoJCTwvYXJyYXk+Cgk8L2RpY3Q+Cgk8a2V5PmNvbS5hcHBsZS5wcmludC5QYWdlRm9y + bWF0LlBNVmVydGljYWxTY2FsaW5nPC9rZXk+Cgk8ZGljdD4KCQk8a2V5PmNvbS5hcHBs + ZS5wcmludC50aWNrZXQuY3JlYXRvcjwva2V5PgoJCTxzdHJpbmc+Y29tLmFwcGxlLnBy + aW50aW5nbWFuYWdlcjwvc3RyaW5nPgoJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tl + dC5pdGVtQXJyYXk8L2tleT4KCQk8YXJyYXk+CgkJCTxkaWN0PgoJCQkJPGtleT5jb20u + YXBwbGUucHJpbnQuUGFnZUZvcm1hdC5QTVZlcnRpY2FsU2NhbGluZzwva2V5PgoJCQkJ + PHJlYWw+MS4wMDAwMDAwMDAwMDAwMDBlKzAwPC9yZWFsPgoJCQkJPGtleT5jb20uYXBw + bGUucHJpbnQudGlja2V0LmNsaWVudDwva2V5PgoJCQkJPHN0cmluZz5jb20uYXBwbGUu + cHJpbnRpbmdtYW5hZ2VyPC9zdHJpbmc+CgkJCQk8a2V5PmNvbS5hcHBsZS5wcmludC50 + aWNrZXQubW9kRGF0ZTwva2V5PgoJCQkJPGRhdGU+MjAwMy0wMi0wNFQyMTo1MDozNFo8 + L2RhdGU+CgkJCQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQuc3RhdGVGbGFnPC9r + ZXk+CgkJCQk8aW50ZWdlcj4wPC9pbnRlZ2VyPgoJCQk8L2RpY3Q+CgkJPC9hcnJheT4K + CTwvZGljdD4KCTxrZXk+Y29tLmFwcGxlLnByaW50LnN1YlRpY2tldC5wYXBlcl9pbmZv + X3RpY2tldDwva2V5PgoJPGRpY3Q+CgkJPGtleT5jb20uYXBwbGUucHJpbnQuUGFnZUZv + cm1hdC5QTUFkanVzdGVkUGFnZVJlY3Q8L2tleT4KCQk8ZGljdD4KCQkJPGtleT5jb20u + YXBwbGUucHJpbnQudGlja2V0LmNyZWF0b3I8L2tleT4KCQkJPHN0cmluZz5jb20uYXBw + bGUucHJpbnRpbmdtYW5hZ2VyPC9zdHJpbmc+CgkJCTxrZXk+Y29tLmFwcGxlLnByaW50 + LnRpY2tldC5pdGVtQXJyYXk8L2tleT4KCQkJPGFycmF5PgoJCQkJPGRpY3Q+CgkJCQkJ + PGtleT5jb20uYXBwbGUucHJpbnQuUGFnZUZvcm1hdC5QTUFkanVzdGVkUGFnZVJlY3Q8 + L2tleT4KCQkJCQk8YXJyYXk+CgkJCQkJCTxyZWFsPjAuMDAwMDAwMDAwMDAwMDAwZSsw + MDwvcmVhbD4KCQkJCQkJPHJlYWw+MC4wMDAwMDAwMDAwMDAwMDBlKzAwPC9yZWFsPgoJ + CQkJCQk8cmVhbD43LjgzMDAwMDAwMDAwMDAwMGUrMDI8L3JlYWw+CgkJCQkJCTxyZWFs + PjUuNTkwMDAwMDAwMDAwMDAwZSswMjwvcmVhbD4KCQkJCQk8L2FycmF5PgoJCQkJCTxr + ZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC5jbGllbnQ8L2tleT4KCQkJCQk8c3RyaW5n + PmNvbS5hcHBsZS5wcmludGluZ21hbmFnZXI8L3N0cmluZz4KCQkJCQk8a2V5PmNvbS5h + cHBsZS5wcmludC50aWNrZXQubW9kRGF0ZTwva2V5PgoJCQkJCTxkYXRlPjIwMDMtMDYt + MjZUMDQ6NTU6MzBaPC9kYXRlPgoJCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tl + dC5zdGF0ZUZsYWc8L2tleT4KCQkJCQk8aW50ZWdlcj4wPC9pbnRlZ2VyPgoJCQkJPC9k + aWN0PgoJCQk8L2FycmF5PgoJCTwvZGljdD4KCQk8a2V5PmNvbS5hcHBsZS5wcmludC5Q + YWdlRm9ybWF0LlBNQWRqdXN0ZWRQYXBlclJlY3Q8L2tleT4KCQk8ZGljdD4KCQkJPGtl + eT5jb20uYXBwbGUucHJpbnQudGlja2V0LmNyZWF0b3I8L2tleT4KCQkJPHN0cmluZz5j + b20uYXBwbGUucHJpbnRpbmdtYW5hZ2VyPC9zdHJpbmc+CgkJCTxrZXk+Y29tLmFwcGxl + LnByaW50LnRpY2tldC5pdGVtQXJyYXk8L2tleT4KCQkJPGFycmF5PgoJCQkJPGRpY3Q+ + CgkJCQkJPGtleT5jb20uYXBwbGUucHJpbnQuUGFnZUZvcm1hdC5QTUFkanVzdGVkUGFw + ZXJSZWN0PC9rZXk+CgkJCQkJPGFycmF5PgoJCQkJCQk8cmVhbD4tMS44MDAwMDAwMDAw + MDAwMDBlKzAxPC9yZWFsPgoJCQkJCQk8cmVhbD4tMS44MDAwMDAwMDAwMDAwMDBlKzAx + PC9yZWFsPgoJCQkJCQk8cmVhbD44LjI0MDAwMDAwMDAwMDAwMGUrMDI8L3JlYWw+CgkJ + CQkJCTxyZWFsPjUuNzcwMDAwMDAwMDAwMDAwZSswMjwvcmVhbD4KCQkJCQk8L2FycmF5 + PgoJCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC5jbGllbnQ8L2tleT4KCQkJ + CQk8c3RyaW5nPmNvbS5hcHBsZS5wcmludGluZ21hbmFnZXI8L3N0cmluZz4KCQkJCQk8 + a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQubW9kRGF0ZTwva2V5PgoJCQkJCTxkYXRl + PjIwMDMtMDYtMjZUMDQ6NTU6MzBaPC9kYXRlPgoJCQkJCTxrZXk+Y29tLmFwcGxlLnBy + aW50LnRpY2tldC5zdGF0ZUZsYWc8L2tleT4KCQkJCQk8aW50ZWdlcj4wPC9pbnRlZ2Vy + PgoJCQkJPC9kaWN0PgoJCQk8L2FycmF5PgoJCTwvZGljdD4KCQk8a2V5PmNvbS5hcHBs + ZS5wcmludC5QYXBlckluZm8uUE1QYXBlck5hbWU8L2tleT4KCQk8ZGljdD4KCQkJPGtl + eT5jb20uYXBwbGUucHJpbnQudGlja2V0LmNyZWF0b3I8L2tleT4KCQkJPHN0cmluZz5j + b20uYXBwbGUucHJpbnQucG0uUG9zdFNjcmlwdDwvc3RyaW5nPgoJCQk8a2V5PmNvbS5h + cHBsZS5wcmludC50aWNrZXQuaXRlbUFycmF5PC9rZXk+CgkJCTxhcnJheT4KCQkJCTxk + aWN0PgoJCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LlBhcGVySW5mby5QTVBhcGVyTmFt + ZTwva2V5PgoJCQkJCTxzdHJpbmc+aXNvLWE0PC9zdHJpbmc+CgkJCQkJPGtleT5jb20u + YXBwbGUucHJpbnQudGlja2V0LmNsaWVudDwva2V5PgoJCQkJCTxzdHJpbmc+Y29tLmFw + cGxlLnByaW50LnBtLlBvc3RTY3JpcHQ8L3N0cmluZz4KCQkJCQk8a2V5PmNvbS5hcHBs + ZS5wcmludC50aWNrZXQubW9kRGF0ZTwva2V5PgoJCQkJCTxkYXRlPjIwMDAtMDctMjhU + MjI6NTc6MDRaPC9kYXRlPgoJCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC5z + dGF0ZUZsYWc8L2tleT4KCQkJCQk8aW50ZWdlcj4xPC9pbnRlZ2VyPgoJCQkJPC9kaWN0 + PgoJCQk8L2FycmF5PgoJCTwvZGljdD4KCQk8a2V5PmNvbS5hcHBsZS5wcmludC5QYXBl + ckluZm8uUE1VbmFkanVzdGVkUGFnZVJlY3Q8L2tleT4KCQk8ZGljdD4KCQkJPGtleT5j + b20uYXBwbGUucHJpbnQudGlja2V0LmNyZWF0b3I8L2tleT4KCQkJPHN0cmluZz5jb20u + YXBwbGUucHJpbnQucG0uUG9zdFNjcmlwdDwvc3RyaW5nPgoJCQk8a2V5PmNvbS5hcHBs + ZS5wcmludC50aWNrZXQuaXRlbUFycmF5PC9rZXk+CgkJCTxhcnJheT4KCQkJCTxkaWN0 + PgoJCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LlBhcGVySW5mby5QTVVuYWRqdXN0ZWRQ + YWdlUmVjdDwva2V5PgoJCQkJCTxhcnJheT4KCQkJCQkJPHJlYWw+MC4wMDAwMDAwMDAw + MDAwMDBlKzAwPC9yZWFsPgoJCQkJCQk8cmVhbD4wLjAwMDAwMDAwMDAwMDAwMGUrMDA8 + L3JlYWw+CgkJCQkJCTxyZWFsPjcuODMwMDAwMDAwMDAwMDAwZSswMjwvcmVhbD4KCQkJ + CQkJPHJlYWw+NS41OTAwMDAwMDAwMDAwMDBlKzAyPC9yZWFsPgoJCQkJCTwvYXJyYXk+ + CgkJCQkJPGtleT5jb20uYXBwbGUucHJpbnQudGlja2V0LmNsaWVudDwva2V5PgoJCQkJ + CTxzdHJpbmc+Y29tLmFwcGxlLnByaW50aW5nbWFuYWdlcjwvc3RyaW5nPgoJCQkJCTxr + ZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC5tb2REYXRlPC9rZXk+CgkJCQkJPGRhdGU+ + MjAwMy0wMi0wNFQyMTo1MDozNFo8L2RhdGU+CgkJCQkJPGtleT5jb20uYXBwbGUucHJp + bnQudGlja2V0LnN0YXRlRmxhZzwva2V5PgoJCQkJCTxpbnRlZ2VyPjA8L2ludGVnZXI+ + CgkJCQk8L2RpY3Q+CgkJCTwvYXJyYXk+CgkJPC9kaWN0PgoJCTxrZXk+Y29tLmFwcGxl + LnByaW50LlBhcGVySW5mby5QTVVuYWRqdXN0ZWRQYXBlclJlY3Q8L2tleT4KCQk8ZGlj + dD4KCQkJPGtleT5jb20uYXBwbGUucHJpbnQudGlja2V0LmNyZWF0b3I8L2tleT4KCQkJ + PHN0cmluZz5jb20uYXBwbGUucHJpbnQucG0uUG9zdFNjcmlwdDwvc3RyaW5nPgoJCQk8 + a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQuaXRlbUFycmF5PC9rZXk+CgkJCTxhcnJh + eT4KCQkJCTxkaWN0PgoJCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LlBhcGVySW5mby5Q + TVVuYWRqdXN0ZWRQYXBlclJlY3Q8L2tleT4KCQkJCQk8YXJyYXk+CgkJCQkJCTxyZWFs + Pi0xLjgwMDAwMDAwMDAwMDAwMGUrMDE8L3JlYWw+CgkJCQkJCTxyZWFsPi0xLjgwMDAw + MDAwMDAwMDAwMGUrMDE8L3JlYWw+CgkJCQkJCTxyZWFsPjguMjQwMDAwMDAwMDAwMDAw + ZSswMjwvcmVhbD4KCQkJCQkJPHJlYWw+NS43NzAwMDAwMDAwMDAwMDBlKzAyPC9yZWFs + PgoJCQkJCTwvYXJyYXk+CgkJCQkJPGtleT5jb20uYXBwbGUucHJpbnQudGlja2V0LmNs + aWVudDwva2V5PgoJCQkJCTxzdHJpbmc+Y29tLmFwcGxlLnByaW50aW5nbWFuYWdlcjwv + c3RyaW5nPgoJCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC5tb2REYXRlPC9r + ZXk+CgkJCQkJPGRhdGU+MjAwMy0wMi0wNFQyMTo1MDozNFo8L2RhdGU+CgkJCQkJPGtl + eT5jb20uYXBwbGUucHJpbnQudGlja2V0LnN0YXRlRmxhZzwva2V5PgoJCQkJCTxpbnRl + Z2VyPjA8L2ludGVnZXI+CgkJCQk8L2RpY3Q+CgkJCTwvYXJyYXk+CgkJPC9kaWN0PgoJ + CTxrZXk+Y29tLmFwcGxlLnByaW50LlBhcGVySW5mby5wcGQuUE1QYXBlck5hbWU8L2tl + eT4KCQk8ZGljdD4KCQkJPGtleT5jb20uYXBwbGUucHJpbnQudGlja2V0LmNyZWF0b3I8 + L2tleT4KCQkJPHN0cmluZz5jb20uYXBwbGUucHJpbnQucG0uUG9zdFNjcmlwdDwvc3Ry + aW5nPgoJCQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQuaXRlbUFycmF5PC9rZXk+ + CgkJCTxhcnJheT4KCQkJCTxkaWN0PgoJCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LlBh + cGVySW5mby5wcGQuUE1QYXBlck5hbWU8L2tleT4KCQkJCQk8c3RyaW5nPkE0PC9zdHJp + bmc+CgkJCQkJPGtleT5jb20uYXBwbGUucHJpbnQudGlja2V0LmNsaWVudDwva2V5PgoJ + CQkJCTxzdHJpbmc+Y29tLmFwcGxlLnByaW50LnBtLlBvc3RTY3JpcHQ8L3N0cmluZz4K + CQkJCQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQubW9kRGF0ZTwva2V5PgoJCQkJ + CTxkYXRlPjIwMDAtMDctMjhUMjI6NTc6MDRaPC9kYXRlPgoJCQkJCTxrZXk+Y29tLmFw + cGxlLnByaW50LnRpY2tldC5zdGF0ZUZsYWc8L2tleT4KCQkJCQk8aW50ZWdlcj4xPC9p + bnRlZ2VyPgoJCQkJPC9kaWN0PgoJCQk8L2FycmF5PgoJCTwvZGljdD4KCQk8a2V5PmNv + bS5hcHBsZS5wcmludC50aWNrZXQuQVBJVmVyc2lvbjwva2V5PgoJCTxzdHJpbmc+MDAu + MjA8L3N0cmluZz4KCQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQucHJpdmF0ZUxv + Y2s8L2tleT4KCQk8ZmFsc2UvPgoJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC50 + eXBlPC9rZXk+CgkJPHN0cmluZz5jb20uYXBwbGUucHJpbnQuUGFwZXJJbmZvVGlja2V0 + PC9zdHJpbmc+Cgk8L2RpY3Q+Cgk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQuQVBJ + VmVyc2lvbjwva2V5PgoJPHN0cmluZz4wMC4yMDwvc3RyaW5nPgoJPGtleT5jb20uYXBw + bGUucHJpbnQudGlja2V0LnByaXZhdGVMb2NrPC9rZXk+Cgk8ZmFsc2UvPgoJPGtleT5j + b20uYXBwbGUucHJpbnQudGlja2V0LnR5cGU8L2tleT4KCTxzdHJpbmc+Y29tLmFwcGxl + LnByaW50LlBhZ2VGb3JtYXRUaWNrZXQ8L3N0cmluZz4KPC9kaWN0Pgo8L3BsaXN0PgqG + koSZmRFOU1BNUHJpbnRTZXR0aW5nc4aShL6XgRIXhAdbNDYzMWNdPD94bWwgdmVyc2lv + bj0iMS4wIiBlbmNvZGluZz0iVVRGLTgiPz4KPCFET0NUWVBFIHBsaXN0IFBVQkxJQyAi + LS8vQXBwbGUgQ29tcHV0ZXIvL0RURCBQTElTVCAxLjAvL0VOIiAiaHR0cDovL3d3dy5h + cHBsZS5jb20vRFREcy9Qcm9wZXJ0eUxpc3QtMS4wLmR0ZCI+CjxwbGlzdCB2ZXJzaW9u + PSIxLjAiPgo8ZGljdD4KCTxrZXk+Y29tLmFwcGxlLnByaW50LkRvY3VtZW50VGlja2V0 + LlBNU3Bvb2xGb3JtYXQ8L2tleT4KCTxkaWN0PgoJCTxrZXk+Y29tLmFwcGxlLnByaW50 + LnRpY2tldC5jcmVhdG9yPC9rZXk+CgkJPHN0cmluZz5jb20uYXBwbGUucHJpbnRpbmdt + YW5hZ2VyPC9zdHJpbmc+CgkJPGtleT5jb20uYXBwbGUucHJpbnQudGlja2V0Lml0ZW1B + cnJheTwva2V5PgoJCTxhcnJheT4KCQkJPGRpY3Q+CgkJCQk8a2V5PmNvbS5hcHBsZS5w + cmludC5Eb2N1bWVudFRpY2tldC5QTVNwb29sRm9ybWF0PC9rZXk+CgkJCQk8c3RyaW5n + PmFwcGxpY2F0aW9uL3BkZjwvc3RyaW5nPgoJCQkJPGtleT5jb20uYXBwbGUucHJpbnQu + dGlja2V0LmNsaWVudDwva2V5PgoJCQkJPHN0cmluZz5jb20uYXBwbGUucHJpbnRpbmdt + YW5hZ2VyPC9zdHJpbmc+CgkJCQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQubW9k + RGF0ZTwva2V5PgoJCQkJPGRhdGU+MjAwMy0wMi0wNFQyMTo1NDo0OFo8L2RhdGU+CgkJ + CQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQuc3RhdGVGbGFnPC9rZXk+CgkJCQk8 + aW50ZWdlcj4wPC9pbnRlZ2VyPgoJCQk8L2RpY3Q+CgkJPC9hcnJheT4KCTwvZGljdD4K + CTxrZXk+Y29tLmFwcGxlLnByaW50LlByaW50U2V0dGluZ3MuUE1Db2xvclN5bmNTeXN0 + ZW1Qcm9maWxlUGF0aDwva2V5PgoJPGRpY3Q+CgkJPGtleT5jb20uYXBwbGUucHJpbnQu + dGlja2V0LmNyZWF0b3I8L2tleT4KCQk8c3RyaW5nPmNvbS5hcHBsZS5wcmludGluZ21h + bmFnZXI8L3N0cmluZz4KCQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQuaXRlbUFy + cmF5PC9rZXk+CgkJPGFycmF5PgoJCQk8ZGljdD4KCQkJCTxrZXk+Y29tLmFwcGxlLnBy + aW50LlByaW50U2V0dGluZ3MuUE1Db2xvclN5bmNTeXN0ZW1Qcm9maWxlUGF0aDwva2V5 + PgoJCQkJPHN0cmluZz4vU3lzdGVtL0xpYnJhcnkvQ29sb3JTeW5jL1Byb2ZpbGVzL0dl + bmVyaWMgUkdCIFByb2ZpbGUuaWNjPC9zdHJpbmc+CgkJCQk8a2V5PmNvbS5hcHBsZS5w + cmludC50aWNrZXQuY2xpZW50PC9rZXk+CgkJCQk8c3RyaW5nPmNvbS5hcHBsZS5wcmlu + dGluZ21hbmFnZXI8L3N0cmluZz4KCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tl + dC5tb2REYXRlPC9rZXk+CgkJCQk8ZGF0ZT4yMDAzLTAyLTA0VDIxOjU0OjQ4WjwvZGF0 + ZT4KCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC5zdGF0ZUZsYWc8L2tleT4K + CQkJCTxpbnRlZ2VyPjA8L2ludGVnZXI+CgkJCTwvZGljdD4KCQk8L2FycmF5PgoJPC9k + aWN0PgoJPGtleT5jb20uYXBwbGUucHJpbnQuUHJpbnRTZXR0aW5ncy5QTUNvcGllczwv + a2V5PgoJPGRpY3Q+CgkJPGtleT5jb20uYXBwbGUucHJpbnQudGlja2V0LmNyZWF0b3I8 + L2tleT4KCQk8c3RyaW5nPmNvbS5hcHBsZS5wcmludGluZ21hbmFnZXI8L3N0cmluZz4K + CQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQuaXRlbUFycmF5PC9rZXk+CgkJPGFy + cmF5PgoJCQk8ZGljdD4KCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LlByaW50U2V0dGlu + Z3MuUE1Db3BpZXM8L2tleT4KCQkJCTxpbnRlZ2VyPjE8L2ludGVnZXI+CgkJCQk8a2V5 + PmNvbS5hcHBsZS5wcmludC50aWNrZXQuY2xpZW50PC9rZXk+CgkJCQk8c3RyaW5nPmNv + bS5hcHBsZS5wcmludGluZ21hbmFnZXI8L3N0cmluZz4KCQkJCTxrZXk+Y29tLmFwcGxl + LnByaW50LnRpY2tldC5tb2REYXRlPC9rZXk+CgkJCQk8ZGF0ZT4yMDAzLTAyLTA0VDIx + OjU0OjQ4WjwvZGF0ZT4KCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC5zdGF0 + ZUZsYWc8L2tleT4KCQkJCTxpbnRlZ2VyPjA8L2ludGVnZXI+CgkJCTwvZGljdD4KCQk8 + L2FycmF5PgoJPC9kaWN0PgoJPGtleT5jb20uYXBwbGUucHJpbnQuUHJpbnRTZXR0aW5n + cy5QTUNvcHlDb2xsYXRlPC9rZXk+Cgk8ZGljdD4KCQk8a2V5PmNvbS5hcHBsZS5wcmlu + dC50aWNrZXQuY3JlYXRvcjwva2V5PgoJCTxzdHJpbmc+Y29tLmFwcGxlLnByaW50aW5n + bWFuYWdlcjwvc3RyaW5nPgoJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC5pdGVt + QXJyYXk8L2tleT4KCQk8YXJyYXk+CgkJCTxkaWN0PgoJCQkJPGtleT5jb20uYXBwbGUu + cHJpbnQuUHJpbnRTZXR0aW5ncy5QTUNvcHlDb2xsYXRlPC9rZXk+CgkJCQk8dHJ1ZS8+ + CgkJCQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQuY2xpZW50PC9rZXk+CgkJCQk8 + c3RyaW5nPmNvbS5hcHBsZS5wcmludGluZ21hbmFnZXI8L3N0cmluZz4KCQkJCTxrZXk+ + Y29tLmFwcGxlLnByaW50LnRpY2tldC5tb2REYXRlPC9rZXk+CgkJCQk8ZGF0ZT4yMDAz + LTAyLTA0VDIxOjU0OjQ4WjwvZGF0ZT4KCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRp + Y2tldC5zdGF0ZUZsYWc8L2tleT4KCQkJCTxpbnRlZ2VyPjA8L2ludGVnZXI+CgkJCTwv + ZGljdD4KCQk8L2FycmF5PgoJPC9kaWN0PgoJPGtleT5jb20uYXBwbGUucHJpbnQuUHJp + bnRTZXR0aW5ncy5QTUZpcnN0UGFnZTwva2V5PgoJPGRpY3Q+CgkJPGtleT5jb20uYXBw + bGUucHJpbnQudGlja2V0LmNyZWF0b3I8L2tleT4KCQk8c3RyaW5nPmNvbS5hcHBsZS5w + cmludGluZ21hbmFnZXI8L3N0cmluZz4KCQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNr + ZXQuaXRlbUFycmF5PC9rZXk+CgkJPGFycmF5PgoJCQk8ZGljdD4KCQkJCTxrZXk+Y29t + LmFwcGxlLnByaW50LlByaW50U2V0dGluZ3MuUE1GaXJzdFBhZ2U8L2tleT4KCQkJCTxp + bnRlZ2VyPjE8L2ludGVnZXI+CgkJCQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQu + Y2xpZW50PC9rZXk+CgkJCQk8c3RyaW5nPmNvbS5hcHBsZS5wcmludGluZ21hbmFnZXI8 + L3N0cmluZz4KCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tldC5tb2REYXRlPC9r + ZXk+CgkJCQk8ZGF0ZT4yMDAzLTAyLTA0VDIxOjU0OjQ4WjwvZGF0ZT4KCQkJCTxrZXk+ + Y29tLmFwcGxlLnByaW50LnRpY2tldC5zdGF0ZUZsYWc8L2tleT4KCQkJCTxpbnRlZ2Vy + PjA8L2ludGVnZXI+CgkJCTwvZGljdD4KCQk8L2FycmF5PgoJPC9kaWN0PgoJPGtleT5j + b20uYXBwbGUucHJpbnQuUHJpbnRTZXR0aW5ncy5QTUxhc3RQYWdlPC9rZXk+Cgk8ZGlj + dD4KCQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQuY3JlYXRvcjwva2V5PgoJCTxz + dHJpbmc+Y29tLmFwcGxlLnByaW50aW5nbWFuYWdlcjwvc3RyaW5nPgoJCTxrZXk+Y29t + LmFwcGxlLnByaW50LnRpY2tldC5pdGVtQXJyYXk8L2tleT4KCQk8YXJyYXk+CgkJCTxk + aWN0PgoJCQkJPGtleT5jb20uYXBwbGUucHJpbnQuUHJpbnRTZXR0aW5ncy5QTUxhc3RQ + YWdlPC9rZXk+CgkJCQk8aW50ZWdlcj4yMTQ3NDgzNjQ3PC9pbnRlZ2VyPgoJCQkJPGtl + eT5jb20uYXBwbGUucHJpbnQudGlja2V0LmNsaWVudDwva2V5PgoJCQkJPHN0cmluZz5j + b20uYXBwbGUucHJpbnRpbmdtYW5hZ2VyPC9zdHJpbmc+CgkJCQk8a2V5PmNvbS5hcHBs + ZS5wcmludC50aWNrZXQubW9kRGF0ZTwva2V5PgoJCQkJPGRhdGU+MjAwMy0wMi0wNFQy + MTo1NDo0OFo8L2RhdGU+CgkJCQk8a2V5PmNvbS5hcHBsZS5wcmludC50aWNrZXQuc3Rh + dGVGbGFnPC9rZXk+CgkJCQk8aW50ZWdlcj4wPC9pbnRlZ2VyPgoJCQk8L2RpY3Q+CgkJ + PC9hcnJheT4KCTwvZGljdD4KCTxrZXk+Y29tLmFwcGxlLnByaW50LlByaW50U2V0dGlu + Z3MuUE1QYWdlUmFuZ2U8L2tleT4KCTxkaWN0PgoJCTxrZXk+Y29tLmFwcGxlLnByaW50 + LnRpY2tldC5jcmVhdG9yPC9rZXk+CgkJPHN0cmluZz5jb20uYXBwbGUucHJpbnRpbmdt + YW5hZ2VyPC9zdHJpbmc+CgkJPGtleT5jb20uYXBwbGUucHJpbnQudGlja2V0Lml0ZW1B + cnJheTwva2V5PgoJCTxhcnJheT4KCQkJPGRpY3Q+CgkJCQk8a2V5PmNvbS5hcHBsZS5w + cmludC5QcmludFNldHRpbmdzLlBNUGFnZVJhbmdlPC9rZXk+CgkJCQk8YXJyYXk+CgkJ + CQkJPGludGVnZXI+MTwvaW50ZWdlcj4KCQkJCQk8aW50ZWdlcj4yMTQ3NDgzNjQ3PC9p + bnRlZ2VyPgoJCQkJPC9hcnJheT4KCQkJCTxrZXk+Y29tLmFwcGxlLnByaW50LnRpY2tl + dC5jbGllbnQ8L2tleT4KCQkJCTxzdHJpbmc+Y29tLmFwcGxlLnByaW50aW5nbWFuYWdl + cjwvc3RyaW5nPgoJCQkJPGtleT5jb20uYXBwbGUucHJpbnQudGlja2V0Lm1vZERhdGU8 + L2tleT4KCQkJCTxkYXRlPjIwMDMtMDItMDRUMjE6NTQ6NDhaPC9kYXRlPgoJCQkJPGtl + eT5jb20uYXBwbGUucHJpbnQudGlja2V0LnN0YXRlRmxhZzwva2V5PgoJCQkJPGludGVn + ZXI+MDwvaW50ZWdlcj4KCQkJPC9kaWN0PgoJCTwvYXJyYXk+Cgk8L2RpY3Q+Cgk8a2V5 + PmNvbS5hcHBsZS5wcmludC50aWNrZXQuQVBJVmVyc2lvbjwva2V5PgoJPHN0cmluZz4w + MC4yMDwvc3RyaW5nPgoJPGtleT5jb20uYXBwbGUucHJpbnQudGlja2V0LnByaXZhdGVM + b2NrPC9rZXk+Cgk8ZmFsc2UvPgoJPGtleT5jb20uYXBwbGUucHJpbnQudGlja2V0LnR5 + cGU8L2tleT4KCTxzdHJpbmc+Y29tLmFwcGxlLnByaW50LlByaW50U2V0dGluZ3NUaWNr + ZXQ8L3N0cmluZz4KPC9kaWN0Pgo8L3BsaXN0PgqGkoSZmQ1OU09yaWVudGF0aW9uhpKE + nZyrnwCGkoSZmRROU1ZlcnRpY2FsUGFnaW5hdGlvboaShJ2cq58AhpKEmZkWTlNIb3Jp + em9udGFsbHlDZW50ZXJlZIaSqpKEmZkLTlNUb3BNYXJnaW6GkoSdnJ+dJIaShJmZCE5T + Q29waWVzhpKEnZyrnwGGkoSZmQtOU1BhcGVyU2l6ZYaShJ6chIQMe19OU1NpemU9ZmZ9 + pIECU4EDSoaGhg== + + RowAlign + 0 + RowSpacing + 3.600000e+01 + VPages + 1 + WindowInfo + + Frame + {{337, 19}, {555, 739}} + VisibleRegion + {{-8, 0}, {540, 662}} + Zoom + 1 + + + diff -r 21684fe357d9 -r 4b0a368cc858 presentation/fig/code.jpg Binary file presentation/fig/code.jpg has changed diff -r 21684fe357d9 -r 4b0a368cc858 presentation/fig/concurrent.graffle --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/presentation/fig/concurrent.graffle Mon Sep 26 10:38:35 2011 +0900 @@ -0,0 +1,822 @@ + + + + + ActiveLayerIndex + 0 + ApplicationVersion + + com.omnigroup.OmniGrafflePro + 138.28.0.154505 + + AutoAdjust + + BackgroundGraphic + + Bounds + {{0, 0}, {559, 783}} + Class + SolidGraphic + ID + 2 + Style + + shadow + + Draws + NO + + stroke + + Draws + NO + + + + CanvasOrigin + {0, 0} + ColumnAlign + 1 + ColumnSpacing + 36 + CreationDate + 2011-09-24 03:02:49 +0900 + Creator + Shinji KONO + DisplayScale + 1.000 cm = 1.000 cm + GraphDocumentVersion + 6 + GraphicsList + + + Bounds + {{241, 148}, {86, 18}} + Class + ShapedGraphic + ID + 14 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph4} + + + + Bounds + {{226, 119}, {86, 18}} + Class + ShapedGraphic + ID + 13 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph3} + + + + Bounds + {{241, 90}, {86, 18}} + Class + ShapedGraphic + ID + 12 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph2} + + + + Bounds + {{226, 61}, {86, 18}} + Class + ShapedGraphic + ID + 11 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph1-3} + + + + Bounds + {{149, 148}, {86, 18}} + Class + ShapedGraphic + ID + 10 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph4} + + + + Bounds + {{134, 119}, {86, 18}} + Class + ShapedGraphic + ID + 9 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph3} + + + + Bounds + {{149, 90}, {86, 18}} + Class + ShapedGraphic + ID + 8 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph2} + + + + Bounds + {{134, 61}, {86, 18}} + Class + ShapedGraphic + ID + 7 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph1-2} + + + + Bounds + {{57, 148}, {86, 18}} + Class + ShapedGraphic + ID + 6 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph4} + + + + Bounds + {{42, 119}, {86, 18}} + Class + ShapedGraphic + ID + 5 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph3} + + + + Bounds + {{57, 90}, {86, 18}} + Class + ShapedGraphic + ID + 4 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph2} + + + + Bounds + {{42, 61}, {86, 18}} + Class + ShapedGraphic + ID + 3 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph1-1} + + + + GridInfo + + GuidesLocked + NO + GuidesVisible + YES + HPages + 1 + ImageCounter + 1 + KeepToScale + + Layers + + + Lock + NO + Name + Layer 1 + Print + YES + View + YES + + + LayoutInfo + + Animate + NO + circoMinDist + 18 + circoSeparation + 0.0 + layoutEngine + dot + neatoSeparation + 0.0 + twopiSeparation + 0.0 + + LinksVisible + NO + MagnetsVisible + NO + MasterSheets + + ModificationDate + 2011-09-24 03:18:40 +0900 + Modifier + Shinji KONO + NotesVisible + NO + Orientation + 2 + OriginVisible + NO + PageBreaks + YES + PrintInfo + + NSBottomMargin + + float + 41 + + NSLeftMargin + + float + 18 + + NSPaperSize + + coded + BAtzdHJlYW10eXBlZIHoA4QBQISEhAdOU1ZhbHVlAISECE5TT2JqZWN0AIWEASqEhAx7X05TU2l6ZT1mZn2WgVMCgUoDhg== + + NSRightMargin + + float + 18 + + NSTopMargin + + float + 18 + + + PrintOnePage + + QuickLookPreview + + JVBERi0xLjMKJcTl8uXrp/Og0MTGCjUgMCBvYmoKPDwgL0xlbmd0aCA2IDAgUiAvRmls + dGVyIC9GbGF0ZURlY29kZSA+PgpzdHJlYW0KeAG1lU9v2kAQxe/7KeZIDsDOev/Y19BU + ak5NsdRD1UNkGYUIAhjaz9+ZpcZr7KytKMiyWKy347dvfrs+wBMcQNJlTAYuTaAq4Se8 + wXxxRCiOgP46FjCVMwN8B8IVzL+XVVHuT3+eN6JaUymUqS+nJSQINs2g2ML82xbhy86/ + KxBoC9bKiIArJCjiFaSKVECVhCZE1wQm6YALX4OstG2IYCG+RtSHQhP66AlDJZJ9RBbr + a3R8hIn7Go2P82rnP8rN82n9t1zsNrtqvS1P1boAapZW4KSG1AKm3PYVtfqR7tdz8xdL + 30gJywUcBPo/U/5hSigLmm6xmb2k7hI01IwjaQgYYqGekxpw6Cfd5wLV/8cKpmhmiTYO + NOREyVecSZqUr+AXTPZ3jJyCyUs9wHowpdFvyB/FQ35+aZtUqmedaZytQisX+6TK5EUk + YvZRUmtSzYu+z2kPSEkZ5wW0VkKPUToNWrSXMtm/qDvIX6HfLKdI+yBoQpCbN8tEkAox + a1QxtxS2NebDZpOIWU4WXWOjmyybJRXqtFHFzPpolf2wWx1xi4m+BrwvW5aNBRmda0hu + 978m+ar9AyQTGkxyPxwCdTYGZZYFLEM38Gb7YkbAjWb5alt6lsW7LPsk4zBzo1l2oVnQ + wdP12xwdnPitcPbxDvPMsvFAc743AlpR3asTuw9olo0FWln66tdH82cATcdHBGil6Ys+ + fDazbCzQimC+FdA+yWGgWTYaaE78VkD7eIeBZtlooH2+nwP00z/Bej8vCmVuZHN0cmVh + bQplbmRvYmoKNiAwIG9iago1NzYKZW5kb2JqCjMgMCBvYmoKPDwgL1R5cGUgL1BhZ2Ug + L1BhcmVudCA0IDAgUiAvUmVzb3VyY2VzIDcgMCBSIC9Db250ZW50cyA1IDAgUiAvTWVk + aWFCb3ggWzAgMCA1NTkgNzgzXQo+PgplbmRvYmoKNyAwIG9iago8PCAvUHJvY1NldCBb + IC9QREYgL1RleHQgL0ltYWdlQiAvSW1hZ2VDIC9JbWFnZUkgXSAvQ29sb3JTcGFjZSA8 + PCAvQ3MyIDExIDAgUgovQ3MxIDggMCBSID4+IC9Gb250IDw8IC9GMS4wIDEyIDAgUiA+ + PiAvWE9iamVjdCA8PCAvSW0xIDkgMCBSID4+ID4+CmVuZG9iago5IDAgb2JqCjw8IC9M + ZW5ndGggMTAgMCBSIC9UeXBlIC9YT2JqZWN0IC9TdWJ0eXBlIC9JbWFnZSAvV2lkdGgg + MjE2IC9IZWlnaHQgODAgL0ludGVycG9sYXRlCnRydWUgL0NvbG9yU3BhY2UgMTMgMCBS + IC9JbnRlbnQgL1BlcmNlcHR1YWwgL1NNYXNrIDE0IDAgUiAvQml0c1BlckNvbXBvbmVu + dAo4IC9GaWx0ZXIgL0ZsYXRlRGVjb2RlID4+CnN0cmVhbQp4Ae3QgQAAAADDoPlTH+SF + UGHAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAED + BgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDA + gAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwY + MGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAED + BgwYMGDAgAEDBgwYMGDAgAEDBgwY+BoYyoAAAQplbmRzdHJlYW0KZW5kb2JqCjEwIDAg + b2JqCjI0OAplbmRvYmoKMTQgMCBvYmoKPDwgL0xlbmd0aCAxNSAwIFIgL1R5cGUgL1hP + YmplY3QgL1N1YnR5cGUgL0ltYWdlIC9XaWR0aCAyMTYgL0hlaWdodCA4MCAvQ29sb3JT + cGFjZQovRGV2aWNlR3JheSAvSW50ZXJwb2xhdGUgdHJ1ZSAvQml0c1BlckNvbXBvbmVu + dCA4IC9GaWx0ZXIgL0ZsYXRlRGVjb2RlID4+CnN0cmVhbQp4Ae2b+y+bbRjHHUr13FIt + Pbytp05tVfdMrShrpQ3qMJvTakNQZLXSEY1mDqsYjcaMjTiEzmQIJkiDyLa8/9p7PbU3 + yyjy/vLm3pN+fyJ+uT7397ou93Pf1x0VFVFkBSIrEFmByArcvgLRf4BuJ7jyV+CJ+aVY + JPUrvhgI9wpAuF8vmYCFQolDXhQKBEog3oUWorpEiqdSEy5FQ04/A6NS42HpAe4Osp9U + cXHxgESj0xkMBpPJZCEoCAuCo9NpCQkE2+1kBFYsZB9AARKTxWZzuFweouJyOWw2C+jo + gHZJdkMyhrDAK4KKxebweIlJfH5yskAgRE4CQXIyn5+UyONx2CyCDDyDZAwPRrhFmEVQ + cYFJIExJFYnEEokUOUkkYpEoNUUoADZuiAwsI8DCdMIQFhQWgwlUAAVEUplcnoYpEBSW + JpfLpEAHaEDGZBBlFh4smqgtKg3M4iUJUkTAhCkyMrOylUqVSo2UVCqlMjsrM0OBAZso + RZDEA8toVKJ7XDcM7AKsBAaLw+MLRVI5lp6pVOVotFocx+8jJghJq9XkqJSZ6ZhcKhLy + eRwWOBZHCZOJYBe0DHoISyzDMrLVGi2el/9AX1gEMiAkIp5C/YP8PFyrUWdnYDJxCIwO + zSOMYdFgVwKdyebxU8QyRZY6F9fpCw0lRlOp2Wy2ICUIqNRkLDEU6nV4rjpLIROn8Hls + Jj0BDLuaiJd2MQBLKJanKzW4rsBgLLWUVVirqmseIaaa6iprRZml1Ggo0OEaZbqccIzN + CGcYwQVZyAUsWbpSm6cvNpnLK2tq6+obm2zIqamxvq62prLcbCrW52mV6UQqcllg2LVE + hDSMT2CwEwUimUKZqysyWqzVTxpsz1vb2jvt9i6kZLd3tre1Prc1PKm2WoxFulylQiYS + JBKGXUvE6Bjo8WBXihTL0uQVmcqqHjc2t7bbexwvnX39LqTU3+d86eixt7c2Nz6uKjMV + 5WmyMGkKGAa9/mqBEWkI1SUQyTPUuN5YVl1na+nodjhdg0PuYQ9iGnYPDbqcju6OFltd + dZlRj6sz5CIBUWGQiL9vOUJcnCShFMvO1RVbAKvN7ugbcHtGxsa9E4jJOz424nEP9Dns + bQBmKdblZmNSYRInLFc8jcnlp8rS1XiByfrY1tbV63J7xryTU74ZP2Ka8U1Nesc8bldv + V5vtsdVUgKvTZal8LpMWf80vSjyNRaRhpkZnMNc0tth7X7lHvG99/nfv5z8gpvn37/y+ + t94R96tee0tjjdmg02QSiciiQeO4kocUKp2dKJRg2Vr9w/InzR0vXO6RiWn/3MLi0srK + KlJaWVlaXJjzT0+MuF0vOpqflD/Ua7MxiTCRTaeG4WKwobwUKrywtLKhtdsJWL7Z+cXl + tfXAp02k9Cmwvra8OD/rAzBnd2tDZWkhrlJAgbEZYbigHfJT/8rIyYM0tLU7BjxvpmcX + llY3Nre+bO8gpe0vW5sbq0sLs9NvPAOOdhskYl5Oxl+pfGiI1/yCNs/hE+WVX1JW+8zu + dI9O+ueX1gKft3f39g+Q0v7e7vbnwNrSvH9y1O20P6stK8knCoxPNMSr9QVcXODK0uqN + FXWtPS6P1ze3uBrY2tk/ODw6RkpHhwf7O1uB1cU5n9fj6mmtqzDqtVnAxQ3LxeQmi9Kg + bZis9W2OwdeT/oXlDcA6PD4JIqaT40MA21he8E++HnS01VtN0DjSRMlcZji/mLxkMaa8 + V1ha1djeOzQ69e7j2ub23uFx8PTsHCmdnQaPD/e2N9c+vpsaHeptb6wqLbynxMTJvBu4 + BBJMiReZq5s6ne5x39zS+tbu16Pg6fkFYjo/DR593d1aX5rzjbudnU3V5iJciUkEN3NB + mweup/a+Ye/M++XAl73DE8D69h0pfbs4Pz053PsSWH4/4x3usz8luFSKO7lqbPZ+z4R/ + YeXT9v5R8AywfiCl798uzoJH+9ufVhb8E55+OzT6m7jgMyWByRNIQn6F4fobIf24neu3 + k4Do2DjYHsJ2Q33fYHlk63KBXx9WN3cOjoPnF99/IEQFofz4fnEePD7Y2Vz9AH65umyP + LIb7athwwAYxLjbCFfHr/8nX/5KHJO0bUXdw/al9/jcuEv5fJuc+iqT7XpJ+p5D1u5Kc + 5wAUkp7bUKgkPWcj67koSc+xY0l670Dcf5Hynoic93pkvYcl7705WecciDEi8s2lRJF0 + jgi4yDr3Rc45PcIwMs5VAheRieSbg70EI9/cchRZ58wvwcj3LiAqBBZ68UCudxwwWfTz + hQrxlIhE726IkakQWQzZ3kmFhsFI+a4tRPYvHhCirV/RRn6KrEBkBSIrEFmB8CvwD3hI + AgoKZW5kc3RyZWFtCmVuZG9iagoxNSAwIG9iagoxNzUyCmVuZG9iagoxNiAwIG9iago8 + PCAvTGVuZ3RoIDE3IDAgUiAvTiAxIC9BbHRlcm5hdGUgL0RldmljZUdyYXkgL0ZpbHRl + ciAvRmxhdGVEZWNvZGUgPj4Kc3RyZWFtCngBhVJPSBRRHP7NNhKEiEGFeIh3CgmVKays + oNp2dVmVbVuV0qIYZ9+6o7Mz05vZNcWTBF2iPHUPomN07NChm5eiwKxL1yCpIAg8dej7 + zezqKIRveTvf+/39ft97RG2dpu87KUFUc0OVK6Wnbk5Ni4MfKUUd1E5YphX46WJxjLHr + uZK/u9fWZ9LYst7HtXb79j21lWVgIeottrcQ+iGRZgAfmZ8oZYCzwB2Wr9g+ATxYDqwa + 8COiAw+auTDT0Zx0pbItkVPmoigqr2I7Sa77+bnGvou1iYP+XI9m1o69s+qq0UzUtPdE + obwPrkQZz19U9mw1FKcN45xIQxop8q7V3ytMxxGRKxBKBlI1ZLmfak6ddeB1GLtdupPj + +PYQpT7JYKiJtemymR2FfQB2KsvsEPAF6PGyYg/ngXth/1tRw5PAJ2E/ZId51q0f9heu + U+B7hD014M4UrsXx2oofXi0BQ/dUI2iMc03E09c5c6SI7zHUGZj3RjmmCzF3lqoTN4A7 + YR9ZqmYKsV37ruol7nsCd9PjO9GbOQtcoBxJcrEV2RTQPAlYFH2LsEkOPD7OHlXgd6iY + wBy5idzNKPce1REbZ6NSgVZ6jVfGT+O58cX4ZWwYz4B+rHbXe3z/6eMVdde2Pjz5jXrc + Oa69nRtVYVZxZQvd/8cyhI/ZJzmmwdOhWVhr2HbkD5rMTLAMKMR/BT6X+pITVdzV7u24 + RRLMUD4sbCW6S1RuKdTqPYNKrBwr2AB2cJLELFocuFNrujl4d9giem35TVey64b++vZ6 + +9ryHm3KqCkoE82zRGaUsVuj5N142/1mkRGfODq+572KWsn+SUUQP4U5WiryFFX0VlDW + xG9nDn4btn5cP6Xn9UH9PAk9rZ/Rr+ijEb4MdEnPwnNRH6NJ8LBpIeISoIqDM9ROVGON + A+Ip8fK0W2SR/Q9AGf1mCmVuZHN0cmVhbQplbmRvYmoKMTcgMCBvYmoKNzA0CmVuZG9i + agoxMSAwIG9iagpbIC9JQ0NCYXNlZCAxNiAwIFIgXQplbmRvYmoKMTggMCBvYmoKPDwg + L0xlbmd0aCAxOSAwIFIgL04gMyAvQWx0ZXJuYXRlIC9EZXZpY2VSR0IgL0ZpbHRlciAv + RmxhdGVEZWNvZGUgPj4Kc3RyZWFtCngB1VlnWBTNsu7ZvMASlpyXnHNaQHLOOYrAknPO + qCgCSlAQlQwiKCAqCEgwgYAJQZIIKohEBUFFBBQTd9DP7zvnOff8u3/uPM/0vFtVXV07 + 1d3VVQMA+yolIiIEQQ9AaFhMlK2RLsnZxZWEnQRYwA3wgAcwUbyjI3Ssrc3Bf722xgG0 + y3witavrv4r97wwGH99obwAga5jt5RPtHQrjawAgdL0jomIAQH6B6cPxMREwRj2EMVMU + bCCMp3ax/2+8tou9fmE06peMva0eAGg2AHA0FEqUPwAEQZhOivP2h/UQ9AHAEMN8AsMA + YHSGsaZ3AMUHAPYiWEYyNDR8F9+FsajXv+jx/xdMoXj9rZNC8f8b//4vcE94YP3A6IgQ + SuKvH/+XTWhILPy+fl1EuKUJC7Hc9Q0LfC/5UPTN4CcXfP+MCPnlM1gG4vANc7CDabtY + MszL0uovrOkXZWgLY7gvZB0Ro7uL4XcG+UXEWNv/RT+YFKBnCWMamH7aN9rgj57KIIrp + rs9oYXpzVKytA4wFYXwnOs7OAMbwjIIWkgLsnf6S2fDx1f+LjkD4BRqa/JZBEANjTHbH + YoJ9zh8cbrZrAzwWQhmYgRDgC2JBFNyGASlgDvSA/l+tFPADFJgTB/OiQTB4DeNQuEc4 + 3CccxqS/5PT+g2L4q58/3O/fNZKANywb+/eYv0cjwWP+0RkIfGD8h06Bx9jl7VoX7RGY + +s+YfyR29f2yRvaS7LLs9z82oYRR8igllC5KA6WJUgUkFAuKA0ihFFFklA5KC6UO81SB + IViANfv/sXFXf2izX1xReKKaYwDM3f3vXn+4wPGXdODfv//DAhA4uNq++scCAGJ8E+B1 + AIBeeERiVKB/QAxJB165vpIkkzBvaUmSvKyc3C77/821u2f9NvaT7a+9CGIZ+ocWtQWA + +hN4Po7+Q3MvA6C5EAC6L//QhPQBYCgAoNvLOzYq7rc+1O4DDagAHTxD2eH9UACIwu9Z + HigDdaANDIApsAL2wAW4w/MnAJ6DUSAe7AeHQQbIBnngDCgBZ0E1qANXQDNoB7dAN7gP + +sEweAomwQxYBCtgDWyBbxAEYSECxAixQ7yQECQByUNkSBMygMwhW8gF8oT8oTAoFtoP + HYGyoXyoBDoHXYSaoOtQN9QHjUDPoVloGVqHviKQCBoEE4IbIYyQQZAROggzhD1iH8If + EYlIQqQhTiCKEFWIy4g2RDeiH/EUMYNYQWwiAZIayYLkQ0ohyUg9pBXSFemHjEIeRGYh + C5BVyAbkDeQD5BPkDHIVuY3CoBhRJJQUPE+NUQ4ob1Qk6iAqB1WCqkO1oe6inqBmUWuo + n2gCmgstgVZDm6Cd0f7oeHQGugBdg25F30M/RS+itzAYDAtGBKOCMca4YIIwyZgcTDmm + EXMHM4KZx2xisVh2rARWA2uFpWBjsBnYYuxlbBd2FLuI/YKjxvHi5HGGOFdcGC4VV4Cr + x3XiRnFvcN/w9HghvBreCu+DT8Tn4s/jb+CH8Iv4b1QMVCJUGlT2VEFUh6mKqBqo7lFN + UX2ipqbmp1altqEOpD5EXUR9lfoh9Sz1Ng2RRpxGj8aNJpbmBE0tzR2a5zSfCASCMEGb + 4EqIIZwgXCT0EqYJX2gZaaVpTWh9aFNoS2nbaEdp39Ph6YTodOjc6ZLoCuha6IboVunx + 9ML0evQU+oP0pfTX6SfoNxkYGeQYrBhCGXIY6hn6GJaIWKIw0YDoQ0wjVhN7ifOMSEYB + Rj1Gb8YjjOcZ7zEuMmGYRJhMmIKYspmuMA0yrTETmRWZHZkTmEuZbzPPsCBZhFlMWEJY + clmaWcZZvrJys+qw+rJmsjawjrJ+ZuNk02bzZctia2R7yvaVncRuwB7MfpK9nf0lB4pD + nMOGI56jguMexyonE6c6pzdnFmcz5wsuBJc4ly1XMlc11wDXJjcPtxF3BHcxdy/3Kg8L + jzZPEM9pnk6eZV5GXk3eQN7TvF28b0nMJB1SCKmIdJe0xsfFZ8wXy3eOb5DvG78IvwN/ + Kn8j/0sBKgGygJ/AaYEegTVBXkELwf2ClwRfCOGFyEIBQoVCD4Q+C4sIOwkfFW4XXhJh + EzERSRK5JDIlShDVEo0UrRIdE8OIkcWCxcrFhsUR4kriAeKl4kMSCAlliUCJcokRSbSk + qmSYZJXkhBSNlI5UnNQlqVlpFmlz6VTpdun3MoIyrjInZR7I/JRVkg2RPS87KUeUM5VL + lbshty4vLu8tXyo/pkBQMFRIUehQ+KgooeirWKH4TIlRyULpqFKP0g9lFeUo5QblZRVB + FU+VMpUJMhPZmpxDfqiKVtVVTVG9pbqtpqwWo9as9kFdSj1YvV59aY/IHt895/fMa/Br + UDTOacxokjQ9NSs1Z7T4tChaVVpz2gLaPto12m90xHSCdC7rvNeV1Y3SbdX9rKemd0Dv + jj5S30g/S3/QgGjgYFBiMG3Ib+hveMlwzUjJKNnojjHa2Mz4pPGECbeJt8lFkzVTFdMD + pnfNaMzszErM5szFzaPMb1ggLEwtTllMWQpZhlm2WwErE6tTVi+tRawjrW/aYGysbUpt + XtvK2e63fWDHaOdhV2+3Za9rn2s/6SDqEOvQ40jn6OZ40fGzk75TvtOMs4zzAed+Fw6X + QJcOV6yro2uN6+Zeg71n9i66KblluI3vE9mXsK/PncM9xP22B50HxaPFE+3p5Fnv+Z1i + RamibHqZeJV5rXnreRd6r/ho+5z2WfbV8M33feOn4Zfvt+Sv4X/KfzlAK6AgYDVQL7Ak + 8GOQcdDZoM/BVsG1wTshTiGNobhQz9DrYcSw4LC74TzhCeEjERIRGREzkWqRZyLXosyi + aqKh6H3RHTFM8OFwIFY0Nj12Nk4zrjTuS7xjfEsCQ0JYwkCieGJm4pskw6QLyahk7+Se + /Xz7D++fPaBz4NxB6KDXwZ4UgZS0lMVDRofqDlMdDj78OFU2NT9144jTkRtp3GmH0ubT + jdIvZdBmRGVMHFU/evYY6ljgscFMhczizJ9ZPlmPsmWzC7K/53jnPDoud7zo+M4JvxOD + ucq5FXmYvLC88ZNaJ+vyGfKT8udPWZxqO006nXV644zHmb4CxYKzhVSFsYUzReZFHcWC + xXnF30sCSp6W6pY2lnGVZZZ9LvcpH63Qrmg4y302++zXysDKZ+eMzrVVCVcVVGOq46pf + n3c8/+AC+cLFGo6a7JoftWG1M3W2dXcvqly8WM9Vn3sJcSn20vJlt8vDV/SvdDRINZxr + ZGnMvgquxl592+TZNN5s1tzTQm5puCZ0rayVsTWrDWpLbFtrD2if6XDpGLluer3nhvqN + 1pvSN2tv8d0qvc18O7eTqjOtc6crqWvzTsSd1W7/7vkej57JXufesbs2dwfvmd17eN/w + fu8DnQddDzUe3upT67v+iPyovV+5v21AaaD1sdLj1kHlwbYhlaGOYdXhGyN7RjpHtUa7 + n+g/uT9mMtb/1PLpyLjD+LMJt4mZZz7Plp6HPP/4Iu7Ft8lDU+iprJf0LwumuaarXom9 + apxRnrk9qz87MGc3NznvPb+yEL3wfTHtNeF1wRveNxeX5JduLRsuD7/d+3ZxJWLl22rG + O4Z3Ze9F31/7oP1hYM15bfFj1Med9ZxP7J9qNxQ3ejatN6e3Qre+fc76wv6lbpu8/eCr + 09c33+K/Y78X/RD7ceOn2c+pndCdnQhKFOXXWQAJtwg/PwDWa+EcwgXOHYYBoKL9nVP8 + koDTFQiWgbEEuAuJQXHQNByBLyJJyFKUAOoyWhs9hcnBmsAxbBHfRXWF+jJNK6GX9iU9 + hkGBGM7YyvSdxZC1nG2Lw5rzEjeGx523hY/A7ytwTQgt7CxSJfpWnCyxX7JLGsjoyabL + 9SogFfWU0pRvqWyoSqi5quftuauxpSWi7aSTodusN2WAMhQzMjH2M0kxPWN2yfy2xaDl + lNV7GxpbeTsH+wSHEsebTi+cv7py793j5rIvxj3Po96zlzLl9cmH2lfQT9XfNMAh0DMo + KDgiJCn0UNiR8KMRxyLTo9Ki98dExwbFecbbJuglKiSxJX1Mvru/8EDYQcMUvkPg0Pzh + vtTmI5VpJ9PTMxKPRh8LywzOCs8+lfP2hFrusbzRfLZTLqeLz4wWYotUi/1KTpTeKJuu + QJ4VqbQ8F1dVVt19fr4GVytRZ3kxoj7/UvPlwSurjfirwk3azXtbYq/ltl5su98+17Fz + g+Om0i2L25TOmK70OwXdF3oaem/cvX9v7P7LB4sP3/UtPnrWf2+g+XHJ4MEh92H1EeaR + D6O9T4rHwp5qjxPHFyYankU/V3y+8aJx0m+Kc2ro5eFp+emFVwUzZrPI2ZtzcfMqC2Dh + 8WLha883om8+LDUvR76Vfvt2pWrV5R3hXef7iA8CH6bWzn4MXFf7RPy0sTG3Obk193lz + m+mr1rfo71d/rO8I7ezA/ucGRyAGyAlqR/Ag4hAzSHNkN3ziH0J7YxCYBqwfTgS3hh+k + aqWuo6kkVNO20D2m3yCyMxozJTI3sSyzibJ7cVRxvuBm5bHnPUq6zfdRQEjQSihZ+LxI + v+g7cXoJOUk7qQjp4zJXZB/Kzch/UaRT4ldWU7Ege6vGqB1RP7WnSqNBs0OrS7tX555u + LxxXbhm0Gl42qjIuM6k2bTLrMn9kMW45a7VivWEL7KjtiQ4cjvxOIs7SLgqu5L2abgb7 + jN2NPLQ9yRRZLxFvXh8WX4Ifym/b/0PAQuCzoIHg7pD20PqwivDciIRIwyhC1Fh0RUxI + LDkOG/civjEhI3FfknIyQ/Lq/kcH6g9mpgQccjjslEo5EpoWl56ScfToqWMVmbVZV7Nv + 5Tw4PnLiZe5S3qd8cIr+NN8Z+QKdQrsiv+K4kvTSgrKa8msVXWcHKsfPzVYtV384v11D + VStWZ34xsr7kUvfltw30jRpXg5oKm++3fG4VbXNrz+3ovr5xk3RL77ZPZ1pX2Z3G7u6e + /t7Bu8Pw7tT5oOlhVV/uo+R+/wGHx7qDkkNMQ9vDz0daR/OeBI/pP+V++mX8yUTzs/zn + MS9cJ/WmZF5yTlNNf321OjMz+3Suf/7eQvdi5+vON7eWbi93vu1a6V19/G7xA2ZN8WPU + +q0Nls0jWz++pH9l+9b6w+nnz1/+d4SYIF949Ssi0hFvkIbIyyhu1Ak0NToTQ8Scx2pi + p3EZeDJ+i6qN+hCNHUGKlkC7TjdHP8TQS+xmfMz0kvkDK4qNjV2UQ5vTlsuXO57nKO8Z + 0nm+Fv4ugT7BJ0KvhJdF1kS/iAMJnCROckfqo/QrmWHZO3KN8uUKmYqxSu7KhioSZDry + B9URtQb1zD0+Glqa7JprWn3a1Topuh56Wvo8BgiDBcMHRvXGx02iTB3M1M25LYDFnGWP + VbV1mo2fraWdur2gA43DB8dBp3rnNBdnV3HX73sH3Er3BbqreVB7vPRsomR5+XqLey/6 + lPu6+HH4vfKvD0gMtAgSCoaCX4bcDC0Oiwm3jBCJ+BHZH5UXbRfDGvMitixuXzwpfi6h + OtE7SSjpdXLNfv8DIgeWDtanRB+yPCybypC6eeRFWmd6FTxPQo5ZZ8pnMWVtZY/nXDt+ + 8kR4rk2e0kmefOr8r6fenZ47M1EwVjhW9LT4eclM6XLZZvnOWXwl1zmtqrDq8vODNVCt + Yp3/xdL6vkvrV1galButr/o0xTQfbjlxrbq1s228ffU6uEG8yX9L8bZJp1fX4Ts13WO9 + VHeN7h2/P/6Quk/pkUd/zsCtx2tDYsOUkcrR6TGep27jZRMvnrO9cJ48N7U17fJqeNZt + Hrfw7PXYMn4l5j1x7c2n+c/cX8N/vNr1/+/a0m5MwCgDcIEBAEc4B7W8BEBlOABCcL2G + Hq6XWBMAsFcFiNgegKAZAFDOwN/xAwEIcK4pD0zgLD8JnIazx4dgDnyHWCEFyAoKho5B + F6AeaAbaQfAiNBEeiENwVncPsYykRSoi3ZBpyCvICRQSJYfyQJ1AdaHW0cJoV/RJdB8G + idHEJGHaMVtYFWwC9ib2J84Adxw3gefHR8IRiY7Kk+oaNZ7ak7qDhpEmnGaQIEPIJ2zT + etEO0mnQNdDz0xcxEBlOEHHEFOImYzjjWyZ/ptfMAcwrLBEsm6wH2ajYithF2K9zWHIs + cB7gYuO6xm3LvcFTymvEu0mq4dvLT8/fJ3BU0FCISuiJcLlIuKi+GJfYZ/FnErcla6TO + STfK9MiOyi3If1KElGiUWVV4yEJwZFNQV9mzR0NXU09LVGtVu0MnXddBT1Tvp/4Lgw7D + IqODxoEmjqYmZjrmmhZkSw0rHWtjGxtbZzsv+3CHFMdTTrXOt10mXNfd6PfJutt7HPCs + pYx5I3wUfYP9zvlPBrIFOQTnh4yGMYY7RVRELkWrxuTFLscbJ9Qm0SYf2P/2oGtK32Hd + 1M401fTRo/GZYlmvcqpOROZZ5Cud5i8gFXGWCJTJVehXhlU1XUDW+l9cvBzWiGiqvKbR + NnTd++a7Tvs7zb0893If0jwqfqwx9H706tP0Z6GTbtPyM3PzsYsfl2zfFq52v3+yNrh+ + Y6NwK+CLzPbatys//H/tH0i43iAAVxjs4RpSFqgBveAV7H12uCbgCFcBTkMt0Bi0iWBF + qMG5fSqiFjEIZ/E8SBNkDLISOYTcQcnCmXkhahCNgc8cyejr6G2MGuYAphuLw9pgS7CL + OEVcGm4cL44/jH9GJU91nGqF2py6Ac5+E2nmCVaELlp52jo6froKem76SgZhhkaiPLGZ + UZHxOpM2Ux+zA/M8SzQrkrWQTYbtIbs3BwKOVQacb7lOcqtyv+Yp4rUh0ZAe8WXzWwgw + CkwK1gjFChuIsIt8FH0kVi1+RMJb0kSKLG0uEy6bL9ckP67wRYlNWVXFjZyiel6tT/29 + BqumrtY+bYqOt66fXqh+gkG6YZ5RhXGTyQPTSbN1C4KlqJWBta/NMdtauz77FUd6JzVn + T5dM1469y/s43a09jnk+8MJ4m/nk+U76SwYkB/YHY0J0QuPCmsJfR/JFuUaXxkzEMcRb + J5xIfJxMs9/iwPGDjw8RDzunVhxZSdfPqDmGz4zIWszxOj6XG3YSl19z2qmArnCouLA0 + sFz3LHvlx6qR8zdqLtddre+6/LRhrYm5RbHVuT3++pmbV273dA11v+p9d+/bQ/Qj+gHO + QaFh8VHpMYVxxWeaL3SnTKZtZ1zn7BdUXhPfTC+fWdFdnX0f82HzY/T6wob1Zttn5i8R + 233fSN/jfvT/8j8EcIADXv+WcEXpOGgEo2AT4oC04JiSA12DphBYhDzs+aOIFvhsQYvU + REbAdZoJFAFlgEpB3URto8noRPRteLWbYk5hprGS2GTsAFw3iceN4ZXwJfgdqgCqJ9S6 + 1C00ovD5g4tQSkuivUCnQNdD70C/wpBB5CfeYXRn/Ml0jlkPXtunWLVYV9nK2a04MBxd + 8LrW5EZwP+TJ5jUnMZFm4JiUKxAu6CpkIqwhQhYliymLq0poSGpKaUi7yxTLDssjFaQV + 7ZXilc+oNJNHVdfVOfcYayRptmnT6oTqDuurGdQbsRvnm9Kb5VqwWJ61lrbptjOyb3Ck + dYpxfuq6Z2/dPkb3VI8tSqTXO58I323/rECOoNoQ+dBr4SoRbVEK0ddiJeMqE1gSs5K+ + 7w8/MJ1idehh6p4j59M2MvSPFhxbzlLNzsmZO0HOzc37kO9w6u4ZpYLWInLxo1Kvsp2K + ikrZc53V9uff12TXyVx8fintimTD2NX4ZoaWulaltpsdmtev35S8da5TvKu/O6SX9m7j + fYsHS30p/ewDzYP6Q5MjkU/wY3XjOhNzz49Mikz1Tju+mp31mZtY0Fwsf720JLLs+jZ5 + JW+1/F3R++wP0WsOH2XW0esjn8o23Da5Np9tnf5s+vnzlwvbNtvfv9Z+s/j2Cc461H9M + /+zb9X+0n4L8bvQAEI0uXH6c3tn5JAwANh+AHyd3dr5V7ez8qIaTDfgbyJ2Q398rdoUx + cM297MouuhdSufv4t+t/AM5+iscKZW5kc3RyZWFtCmVuZG9iagoxOSAwIG9iago1OTY1 + CmVuZG9iagoxMyAwIG9iagpbIC9JQ0NCYXNlZCAxOCAwIFIgXQplbmRvYmoKMjAgMCBv + YmoKPDwgL0xlbmd0aCAyMSAwIFIgL04gMyAvQWx0ZXJuYXRlIC9EZXZpY2VSR0IgL0Zp + bHRlciAvRmxhdGVEZWNvZGUgPj4Kc3RyZWFtCngBhVTPaxNBFP42bqnQIghaaw6yeJAi + SVmraEXUNv0RYmsM2x+2RZBkM0nWbjbr7ia1pYjk4tEq3kXtoQf/gB568GQvSoVaRSje + qyhioRct8c1uTLal6sDOfvPeN+99b3bfAA1y0jT1gATkDcdSohFpbHxCavyIAI6iCUE0 + JVXb7E4kBkGDc/l759h6D4FbVsN7+3eyd62a0raaB4T9QOBHmtkqsO8XcQpZEgKIPN+h + Kcd0CN/j2PLsjzlOeXjBtQ8rPcRZInxANS3Of024U80l00CDSDiU9XFSPpzXi5TXHQdp + bmbGyBC9T5Cmu8zuq2KhnE72DpC9nfR+TrPePsIhwgsZrT9GuI2e9YzVP+Jh4aTmxIY9 + HBg19PhgFbcaqfg1whRfEE0nolRx2S4N8Ziu/VbySoJwkDjKZGGAc1pIT9dMbvi6hwV9 + JtcTr+J3VlHheY8TZ97U3e9F2gKvMA4dDBoMmg1IUBBFBGGYsFBAhjwaMTSycj8jqwYb + k3sydSRqu3RiRLFBezbcPbdRpN08/igicZRDtQiS/EH+Kq/JT+V5+ctcsNhW95Stm5q6 + 8uA7xeWZuRoe19PI43NNXnyV1HaTV0eWrHl6vJrsGj/sV5cx5oI1j8RzsPvxLV+VzJcp + jBTF41Xz6kuEdVoxN9+fbH87PeIuzy611nOtiYs3VpuXZ/1qSPvuqryT5lX5T1718fxn + zcRj4ikxJnaK5yGJl8Uu8ZLYS6sL4mBtxwidlYYp0m2R+iTVYGCavPUvXT9beL1Gfwz1 + UZQZzNJUifd/wipkNJ25Dm/6j9vH/Bfk94rnnygCL2zgyJm6bVNx7xChZaVuc64CF7/R + ffC2bmujfjj8BFg8qxatUjWfILwBHHaHeh7oKZjTlpbNOVKHLJ+TuunKYlLMUNtDUlLX + JddlSxazmVVi6XbYmdMdbhyhOUL3xKdKZZP6r/ERsP2wUvn5rFLZfk4a1oGX+m/AvP1F + CmVuZHN0cmVhbQplbmRvYmoKMjEgMCBvYmoKNzM3CmVuZG9iago4IDAgb2JqClsgL0lD + Q0Jhc2VkIDIwIDAgUiBdCmVuZG9iago0IDAgb2JqCjw8IC9UeXBlIC9QYWdlcyAvTWVk + aWFCb3ggWzAgMCA2MTIgNzkyXSAvQ291bnQgMSAvS2lkcyBbIDMgMCBSIF0gPj4KZW5k + b2JqCjIyIDAgb2JqCjw8IC9UeXBlIC9DYXRhbG9nIC9PdXRsaW5lcyAyIDAgUiAvUGFn + ZXMgNCAwIFIgL1ZlcnNpb24gLzEuNCA+PgplbmRvYmoKMiAwIG9iago8PCAvTGFzdCAy + MyAwIFIgL0ZpcnN0IDI0IDAgUiA+PgplbmRvYmoKMjQgMCBvYmoKPDwgL1BhcmVudCAy + NSAwIFIgL0NvdW50IDAgL0Rlc3QgWyAzIDAgUiAvWFlaIDAgNzgzIDAgXSAvVGl0bGUg + KENhbnZhcyAxKQo+PgplbmRvYmoKMjUgMCBvYmoKPDwgPj4KZW5kb2JqCjIzIDAgb2Jq + Cjw8IC9QYXJlbnQgMjUgMCBSIC9Db3VudCAwIC9EZXN0IFsgMyAwIFIgL1hZWiAwIDc4 + MyAwIF0gL1RpdGxlIChDYW52YXMgMSkKPj4KZW5kb2JqCjI2IDAgb2JqCjw8IC9MZW5n + dGggMjcgMCBSIC9MZW5ndGgxIDY2NjAgL0ZpbHRlciAvRmxhdGVEZWNvZGUgPj4Kc3Ry + ZWFtCngBvVl7eFPHlT9zH7rXb8lPybYsydeSZWxjy6/Y4MUXI/mBgRibh0RwkGyL2AaD + S2wVSCAmhRIM8SYhcShJk5C0pEAo148QGRrqUNKSr5uUhIbdtNltsyHpdr/6S7cLfHlg + ac+9sl3sr8nHH2zufGfmnDlnZs785sxc3VH3lh4vREEv0FC/xtO1HpRH58biRy2dnq6Q + HPtbLF9o8XUbQzJrBaA3ru+6rzMk808ChOvv27htsn3cIwBsb5vX0xrSw00sS9qwIiST + Iiwz2jq7t4bk2BEsjRs3t0zq47pRju30bJ0cHz6U9Zs8nd6Qva4cy4yuzffLdvjoeMyK + urZ4J+2JE/37DRCs5WEzhMEG4IACNaYmAO6/wvXAoFbW49OaE3FwXUz5ddDI3QCsW/rP + SvlL08+ufO69mRnxOP8FVoRN2culKiuQBRBJUD8e8fi0RmmHGe+Hxmw/1CJVIBUjZWcv + 1EIvOQqPIb2AREM72Q/bkPYh/QCJmeaOoTRK9g8xvHiGbINksliMYAwr4nUGbXiE4T0/ + UY08Z/hA+/FZosPV+4johqIgbGE4eYE8D61gID8GM9kONWAlh4ezNhrcqDoGXUi9SLSS + E3JsKK3AcI7kgJkh2MYCaQw5bfiTLdfwic1PkSHD+Uw/g8UbaSiJMYYx/XOGn+vvM5xD + OhFSHc9Ci9OGY/qNhoNpfnJ4yPCE3k+wzeOhokePTU8bOrMGDK02Rb9kwE+dGDKUoX6V + GGEoKTUZivVXDXmZfp6gnKtfYphje9uQgQ3RzIidmkWNIVV/0DAPVWl6R+Y8pLPkOHkG + 5pBnhsyLDWeQxekO12aVDvjJA8M1VpvZT7aLJTXWgayaTHPWEoM5qyozE/lVF7nd3D3c + Qq6Ay+asnIUzcSlcPB/Lq/loPpIP53me85NXhioMqrPkBFQgLCeGeRXP+slPsZI5S04q + lSdf4xme4oGP9wf/iMFLIN5PToyoZQ6Z0yqFU/nJyeFQ1UnRwMgcoyjUlMxjhjlQhKdg + MUjkUb8K9iT6KrQVsQs0ZVX2r8vcimYqz/76R0v00kBdo1M6rndJBTIT1LumzLVTzNeW + 3T2o8lZmZ9c1bBv2dXWsd3gFh1tweJHc0n5fm1bqbTYaBzu6ZIVRoi3u5pY2ufR4pS7B + a5c6BLtx0Ke0m6VeL6t9gn0Q1jtWOAfXi177kE/0OQSP3TXcXLmlacZY+6bH2lL5D8aq + lDvbIo/VrLSbNVaTrG6Wx2qSx2qSx2oWm5Wx5Mk72hsr7+/G6DQ62uuMkrVRql2+xikZ + PS67nxzFSnsPsGOgZl8HK9sLyUweGACCHyD9Ti4DK4Ofsr8CdaAz+D/0fFzUUZmoQEU5 + jMGj8AycAhX8BHkr3AuH4C3SgXt7LYzAFZIGc/HsZcAPS+BfSDD4LqyHH6F9N5yHp2AQ + IrFNJySgtp+Yg9tRFpFvht3BFyEDSuH78DqUYa/9MB48FhxGbQOshONwAtv/mgjUIBMX + /GnwKp6Cy7HP3ah5N7gkeApiIQcqoR5rd8M5YqZ/F2wDLcxH756F5+EIvAF/IQ+TkWBb + 0Be8FPwIQ1ULqdCIaQcZIR/Rp5jvB58N/ncwgEhYYQ6O6oaD8BL2fwrTGB6tDrKBdJOD + 5ClKpB6mRpg9bFJgAnHIgmpMNXgqP4IIjMIF+Bt8QT6jtLSa7qbfDBYH/xcioA5nKc/E + Cz5MezH145zOEhXJJ4tIPdlBniRPkcvUHGol5aS+S22lPqWX0WvpbfRl5n5miD3AHlJF + BK4HzwZ/FXwfkkAP98AW2ImzOw+X4Bp8SWjsK5WYyXxSSe7F1EueoUbJETJK1ZMxcok6 + Tv5APiafka8oloqkEqhsqps6SJ2gzlPv0O30U/QP6D/Q15kFLMUeYT9RmbnfB5oD+wLv + BOcHPwp+jkcsDyZcmUpYBuvAg7PtgiJ4CGdxEtMpXLUL8Ca8paSPSSqMw+eIApBYkkwK + yFJMy8jdZD1pJ8+RM5jOKb7coHAhqDBKQyVRqVQj1Ux1Ur3U+1QvnULPoRfTa+hTmC7S + V+iv6K8YloljEphqphYOMJ3MYUxHmZ8wQ8xv2DJ2AbuMXcX2svvYA3QL+y57RbVT1a8a + Un2m+isei0u4zdwBXJ23MGbfwFj++8OQDPS+ADZBC7GTZhjA1ThCPNCH0dVKHkG8usAa + bKJ30tVUPkbDOXgAo/Uw7IB99Fo4Evw3+jj8K0bKRuyyF15mKkHPPo2r8zDkYxRNJjFr + TpY102LOENJNRjzyU1OSddqkxIT4uFiNOioyIjyM51QsQ1MEchxCldsoWdwSYxFqanJl + WfBgheeWCjduZaNUNdNGMsrtPKiaYSmi5fpZlmLIUpy2JGpjOZTn5hgdglF62y4Y/WTN + cifyj9oFl1EaV/ilCv+YwkchbzJhA6ND22Y3SsRtdEhVvrY+h9uem0NGRYQjPDdHPjhE + iJA7lmCRZwcesLBItnBIyYLdIekE5FFHmx2eVql+udNhTzGZXFiHVQ1OHCM3p11CP2F/ + ZKvQut8vQrNb5jxrnRLtcUmUW+5Lky0lCXYpafsn2r+LU5zjwC1KiTJXebx9VZLo3o/g + yqJbljwHUKprNGK31B6XUyJ7Jp2QfexAT2V3Q+8Es7vDKIUJlUJbX4cbwYUG51CymKwc + vhLUO4d0ok4RcnNGtTvnm3D2o7kLcxfK5XyTdmeo/NP3QvXvjcmldueFP2JZ1zANAJER + EGrRT8nYogwioLOlcuYthb6WUsQJHxfBabajP4skCmOGNkusudYj9TZOudFmDznn7rAP + hemSlZdQpQvt3X3qebhSaK8WjH3X8W3tFsb/MrPGM1mjMquvg6yUF3o6ViTimeJ98svS + jLNu0wpt8vr6lDVFWdA6bqlAWYZG9lmKxxd4vdMkGV1Ygb8mc+r8EFbvHCSk3+UnwT1+ + sOtH8Tcqve5eVOfIodZux/FRyM3Bijkm5ObmGKtw5Co5Vox9xr7a1j5jlbENg4kxKyUq + vH2uPESw0Yk4wQocUXSlTLNel2se9pMn94NN0LzPhT10TPaApVKVN4FG+Tn4MqUt9c7l + TqnXniKJdheuAobvWL1TGsPIdbnQyjbtKXq8o1076XMB+mybg/rCUC/426UXu3D19cl9 + NjoFkzTW15fSJ++3kOwnMLtCnKzwg2wiQ+4nvfXYFgvBlKKsgUkwoVsuGdMiDOmpiMLf + 7N+McMm039jyLvS2REG49A4hXHY7CM+7LYTnT3s6A+Fy9Hm+jPA/fXsIL5iBcMU3IyxO + +41OLkRvRQXhyjuE8KLbQdh+Wwg7pj2dgXAV+uyQEa7+9hCumYFw7TcjvHjab3SyDr1d + rCC85A4hvPR2EF52WwjfPe3pDITr0ee7ZYSXf3sIN8xAuPGbEV4x7Tc6uRK9XaEgvOoO + Ibz6dhB23hbCrmlPZyC8Bn12yQjfM42wmCLBredw76xjF+74wbz2FsjxlxIbC5XUcVjJ + 3A8XkEQkG1IR0m4kH5pM3e1E4hfHEyjngl3+1FYeCn+dM8BOSv/fhWrWAJwiF+HXzVbC + EIkEqGXUGNZR+K0AzCX8xqTxrqoidH/E5+FLHolX+wEuIcky8vSHfmCQAHnuQziDLQBW + ZZ/BXlgs822FGpMmE6mS6fff/E/29S8X+ZmlX+FdBKKwkvwHVUc9jeMYxXDIo0kyCzoG + bzgqh01navBaYNlV9aeQt3Tclh9nSjCtJDcC4dTT8jctwa8WoN5nn8CvG2GQJ35SKEYy + DBfJcAMshFeHqX0XtBfenyiDioprb2Pz4gXkrkKNoLnwi8OW/jH6Rl+c6+iXm+gbSl8i + zjeN/SGkw1FxWQlTxaxmN+g3pW1P2032Uvwcfo1ug+5B3YOpr+pYSCcxTGq0zsSl6vCu + ijXExKTHhRfHsUZDjyk90vQQV5q4OT06M2aXoTQ9o1qQ/fjt+LVx9fXxq1BRPlFeMa6J + LcuLTSojWMaWlWkwgyZb/qJtYiqjizRrLBGx0VYIi+esRMdEqcOthE/ADG/t1GoiXxLs + gqaS2ApScldJcZFFSOdUnIC8qSA2IZ5TxRAVViBYi/e8MbarqGFgx2i1hXmNruwh1hsf + b6t6dV9zaWsyHX0za5TEdm2uK27csOPggbo9Z32XAjdeemV7tXdJiW11x3EFF1vwAyaZ + PQw2uCAaaiMbc71ZLbk9WT25qgELqeOzw7XZ8VH0F7b44ij88BDEeE2x+qGoKFtKcQbL + FduitAOZdo0fbwxjwkvnbqYMWcZddCZVWF1wCyqIDVSMV4wjKNcmPlWPq2V8ZGwUSEry + 8nUWCGMtenO6RQW0FRiaz0c4UgWDFZLNWiuGLodw5WGWZkpBzCyYIVjZ2TJY6nKZ27UL + MSNNDFVcmJiUWFgQAk7FFaeRwoJbYCySYcSvO0QwjSTEg0ASP/lZpLXqtf5XXj0Sa45L + tSR6F2455B1xWNghcRNJ+P1fq3OqvvNQ4G+fZ5Kki/srvnNo65M+Qp6nKWPpYxu6t1Zu + f6Hr4i9GdzcU6g2DvW8HAggr7owijLc89lnkomCtmB5GhfNRhKLOxapUHKUiLMfjnQAX + TvVEsJ/RkRxD+0nSq2Qgin8l3E+cw2xMdbSC4PVr5RMYVRVYlGvKFNQQuLK9c7OZHeo3 + Y2z5RBNGNKZiUqgpTBA01I8DxeSdiQPUY4cuX8brhH0T3w2w5F6J7r+57oeBF2XfCN7C + AD3OnodEaBRzVBzHJ3FJfCaTGdfD9fB8XBQVlwCg0au4hMjwqKzwZC1JyIJEXZIWb4KH + Tc2V8q69Vr50onyZ+sZSXFoM+ArZN3lBoSmuUIOxWliA39C4GYsyMX5VyO0eEQtXP/zn + xtzRNNvertMj7PmJD5ebyl5yPTexnHrJd5fz8JWJiyHsfIGVjBlvEqJxt3aLOcf4l5Mo + K29M1USr9AlcjCpanxqRHk1lapMzwueq55qy0mN0QsZe0+tNU55dvTrpGnqGuxH3X2j3 + JaYAm2xhLJBCWQibiBnRRVuATlJZMJRCWw/jKAOjR/abUyXEYzCRwgRlRnghwKmE9ExL + pkagfvmyuerMWYcZ88DcUyXiPQ+cDrzWfXhbQ/78kW2X3+tdO3i29fCDq4/Sg/211vLA + nwMTgRcH1hWn1U78uxwfyhP04p3TP3rkvwbUEI93cYl4n1SHN2HyQ/AmLfReUaEWnNUN + 9Svrsmu8G33e7vYWj2KhGGJmRcIYxDcRwAqkVqRuJFx7eDI4+SAP0zzB99ZM2TZLLpgl + F86S5fFu7a9tltwly/8HM4Tr2QplbmRzdHJlYW0KZW5kb2JqCjI3IDAgb2JqCjM5NDIK + ZW5kb2JqCjI4IDAgb2JqCjw8IC9UeXBlIC9Gb250RGVzY3JpcHRvciAvQXNjZW50IDc3 + MCAvQ2FwSGVpZ2h0IDY4NCAvRGVzY2VudCAtMjMwIC9GbGFncyAzMgovRm9udEJCb3gg + Wy05NTEgLTQ4MSAxNDQ1IDExMjJdIC9Gb250TmFtZSAvWEdSUFVLK0hlbHZldGljYSAv + SXRhbGljQW5nbGUgMAovU3RlbVYgMCAvTWF4V2lkdGggMTUwMCAvWEhlaWdodCA1MTMg + L0ZvbnRGaWxlMiAyNiAwIFIgPj4KZW5kb2JqCjI5IDAgb2JqClsgMzMzIDAgMCAwIDU1 + NiA1NTYgNTU2IDU1NiAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAw + IDAgMCAwIDAKMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAg + MCAwIDAgMCAwIDAgMCA1NTYgMCAwIDAgMCAwIDAgMAo1NTYgXQplbmRvYmoKMTIgMCBv + YmoKPDwgL1R5cGUgL0ZvbnQgL1N1YnR5cGUgL1RydWVUeXBlIC9CYXNlRm9udCAvWEdS + UFVLK0hlbHZldGljYSAvRm9udERlc2NyaXB0b3IKMjggMCBSIC9XaWR0aHMgMjkgMCBS + IC9GaXJzdENoYXIgNDUgL0xhc3RDaGFyIDExMiAvRW5jb2RpbmcgL01hY1JvbWFuRW5j + b2RpbmcKPj4KZW5kb2JqCjMwIDAgb2JqCihNYWMgT1MgWCAxMC42LjggUXVhcnR6IFBE + RkNvbnRleHQpCmVuZG9iagozMSAwIG9iagooRDoyMDExMDkyMzE4MTg1NFowMCcwMCcp + CmVuZG9iagoxIDAgb2JqCjw8IC9Qcm9kdWNlciAzMCAwIFIgL0NyZWF0aW9uRGF0ZSAz + MSAwIFIgL01vZERhdGUgMzEgMCBSID4+CmVuZG9iagp4cmVmCjAgMzIKMDAwMDAwMDAw + MCA2NTUzNSBmIAowMDAwMDE2NDMwIDAwMDAwIG4gCjAwMDAwMTE0NTcgMDAwMDAgbiAK + MDAwMDAwMDY5MSAwMDAwMCBuIAowMDAwMDExMjk0IDAwMDAwIG4gCjAwMDAwMDAwMjIg + MDAwMDAgbiAKMDAwMDAwMDY3MiAwMDAwMCBuIAowMDAwMDAwNzk1IDAwMDAwIG4gCjAw + MDAwMTEyNTggMDAwMDAgbiAKMDAwMDAwMDk1NiAwMDAwMCBuIAowMDAwMDAxNDIzIDAw + MDAwIG4gCjAwMDAwMDQyMzUgMDAwMDAgbiAKMDAwMDAxNjE2MSAwMDAwMCBuIAowMDAw + MDEwMzYxIDAwMDAwIG4gCjAwMDAwMDE0NDMgMDAwMDAgbiAKMDAwMDAwMzM4NiAwMDAw + MCBuIAowMDAwMDAzNDA3IDAwMDAwIG4gCjAwMDAwMDQyMTUgMDAwMDAgbiAKMDAwMDAw + NDI3MiAwMDAwMCBuIAowMDAwMDEwMzQwIDAwMDAwIG4gCjAwMDAwMTAzOTggMDAwMDAg + biAKMDAwMDAxMTIzOCAwMDAwMCBuIAowMDAwMDExMzc3IDAwMDAwIG4gCjAwMDAwMTE2 + MjAgMDAwMDAgbiAKMDAwMDAxMTUwNSAwMDAwMCBuIAowMDAwMDExNTk4IDAwMDAwIG4g + CjAwMDAwMTE3MTMgMDAwMDAgbiAKMDAwMDAxNTc0NSAwMDAwMCBuIAowMDAwMDE1NzY2 + IDAwMDAwIG4gCjAwMDAwMTU5OTEgMDAwMDAgbiAKMDAwMDAxNjMzNiAwMDAwMCBuIAow + MDAwMDE2Mzg4IDAwMDAwIG4gCnRyYWlsZXIKPDwgL1NpemUgMzIgL1Jvb3QgMjIgMCBS + IC9JbmZvIDEgMCBSIC9JRCBbIDxiMWNmOTZlZjgxZWY0ZWZiNTg4MWExMDhlZjU0MDQy + NT4KPGIxY2Y5NmVmODFlZjRlZmI1ODgxYTEwOGVmNTQwNDI1PiBdID4+CnN0YXJ0eHJl + ZgoxNjUwNQolJUVPRgoxIDAgb2JqCjw8L0F1dGhvciAoU2hpbmppIEtPTk8pL0NyZWF0 + aW9uRGF0ZSAoRDoyMDExMDkyMzE4MDIwMFopL0NyZWF0b3IgKE9tbmlHcmFmZmxlIFBy + b2Zlc3Npb25hbCA1LjMuMikvTW9kRGF0ZSAoRDoyMDExMDkyMzE4MTgwMFopL1Byb2R1 + Y2VyIDMwIDAgUiAvVGl0bGUgKGNvbmN1cnJlbnQuZ3JhZmZsZSk+PgplbmRvYmoKeHJl + ZgoxIDEKMDAwMDAxNzMwMyAwMDAwMCBuIAp0cmFpbGVyCjw8L0lEIFs8YjFjZjk2ZWY4 + MWVmNGVmYjU4ODFhMTA4ZWY1NDA0MjU+IDxiMWNmOTZlZjgxZWY0ZWZiNTg4MWExMDhl + ZjU0MDQyNT5dIC9JbmZvIDEgMCBSIC9QcmV2IDE2NTA1IC9Sb290IDIyIDAgUiAvU2l6 + ZSAzMj4+CnN0YXJ0eHJlZgoxNzQ5MAolJUVPRgo= + + QuickLookThumbnail + + TU0AKgAABPyAP+BP90wUAN2EAACQsAQ2HQ+IRGJROKPyLAALxkAQV0wqGRSQSGRQ19SU + ABuUABzSsAAWXSOYTGHPuaAAVTcABGdRSBv9pT8AB6hAAIUWKNWkAB40sAAinAAOVEAO + SqAAZVeRuOtABp10ADmwTmdxOkNWlUynAig0N0W0ABW4RiNRO2ugAMy8V+whO+Uek0t4 + 02n0IPABt4ebTgH4uKOzHAC6gAWZOeQNl5cACTNAAHZ2KLzQSeUgLSAAE6cAaQBVCpSJ + w6+73kibMAYsH5/QygN6nS50HABvcEADPiYK1RNz8kAMPmAAi8+xBHcLzRbvVZzPO/tA + ALd0ABTwRR3eMAOLzcPixOetr2ABj+8APD5TL6RMB/fa4wQ/vgcLtHe+sAoeAMCPy24R + QQABvwW+L5oHAT6QIALvvCsAcgA/YQsqgSSn0AB1xAAB6xHCEBQkAAGxSAAGRYAB5ReA + B6RlEsAxOBcbuw38XnlEUSQfGiRxtHDwAoAADSPDZ/omfsmAAZsngACUpABH8gJjB57S + yAEOyjKcqys+kRnqACLH4oijS/MCQxPHarKwnqJnnOQAGvOoABtPCRwWb4AGfPwABPQI + AHBQgACXQ6RzKABi0YAD7gGAAeUlPUGT8Z9AUFQhwUNRCRH9T9F0alwCgAHFTUpPh1VU + ADxncAFPn9TglpHB5kVtO88zgiT/gAalfAAF9gpGbNiVfUCighF0YUCE9aIFUJigAA9p + gAGtrWHYtYTPZM22ZZ0lVsZDjAAGNy2wbNpWpbSaH3TFmpkZV4gAIF6SpNKHTFaAALLZ + UeTUkYMYDNwZAAxx2AAbGE37f+AYFPAbAAfGJABcOF4YkK4AqAAe44AAV4/eyBSYfqNo + NkYASye2US1RWLoa68iYWBWZzIi+U5rM2XN41eMrOwMbgXkOF5bl0ToyC8jSRZF0gPkJ + /oQbsURUhYCJHbVeZvo+kgMkcZHoABy7CAAR7Ij2q09UFeQfqltpHm7zHEAEEBFrerbS + 7e1oZpaRHzvoAb6fIABBwckmhw3BcJvaQkRxgABpx4AVUdWN46DvLJHuE+z+H3OOikZS + 9AAETu6C0c1xiCRMiY3VgAH/XAAvgJ8/0PRu833TpHgyVJYF3eySZ3gaEtKRsBA0IRPV + sPxCwlxpF4rbePAr5HgyC3csDoAaBoSJ+exkTQLE8uWCF8k+mAHgGd6q7Xvl22N0AAP/ + i/pvbBsX2Yu64Nf1uUEuD+hKxzLGVizoh7+X9gwgQ8Y9RPVnrsZwQchMDltL/ROidR7Q + kWAMZWypRUE2XHXguQ9mYCgAQOYkPiAUBIQH4guikBr8H5K6IizdOo1zJGUJEnIeYABu + Q9AA9dyKqybgqW+k5KDbIEAwJHDqHkPogOSMTEQkSD1LKOPw+OJacx2xbS2SZRUQz6OG + GgtVa8MiIPmGtGkAAOo2EjLwMx9UMAPxxOeEVRJFxfx5JaS9egQI3F5Mi/GOZkY6t2Vi + MGRDZnKA9j/HBLg95IQpkLFNZ4xJLF6QvGYh8TI8i/aElwiaXEjtcSA9pti+YLuAIpKJ + JCQIMt1S5A6VUoSTSjSs9o04CQAOcB804iaiotjteUOtv7fn7pWRPK9rUJ2CmPlVMdIE + yUWgZmoABk7YRysRYnAQiUryogceyjiTREk0zQaK+BApD4PTcInBWdJDp1zsIjO5CZDy + AgAOAQAAAwAAAAEAMgAAAQEAAwAAAAEAFAAAAQIAAwAAAAQAAAWqAQMAAwAAAAEABQAA + AQYAAwAAAAEAAgAAAREABAAAAAEAAAAIARIAAwAAAAEAAQAAARUAAwAAAAEABAAAARYA + AwAAAAEAFAAAARcABAAAAAEAAAT0ARwAAwAAAAEAAQAAAT0AAwAAAAEAAgAAAVIAAwAA + AAEAAQAAAVMAAwAAAAQAAAWyAAAAAAAIAAgACAAIAAEAAQABAAE= + + ReadOnly + NO + RowAlign + 1 + RowSpacing + 36 + SheetTitle + Canvas 1 + SmartAlignmentGuidesActive + YES + SmartDistanceGuidesActive + YES + UniqueID + 1 + UseEntirePage + + VPages + 1 + WindowInfo + + CurrentSheet + 0 + ExpandedCanvases + + + name + Canvas 1 + + + Frame + {{635, 221}, {693, 937}} + ListView + + OutlineWidth + 142 + RightSidebar + + ShowRuler + + Sidebar + + SidebarWidth + 120 + VisibleRegion + {{0, 0}, {558, 783}} + Zoom + 1 + ZoomValues + + + Canvas 1 + 1 + 1 + + + + saveQuickLookFiles + YES + + diff -r 21684fe357d9 -r 4b0a368cc858 presentation/fig/concurrent.jpg Binary file presentation/fig/concurrent.jpg has changed diff -r 21684fe357d9 -r 4b0a368cc858 presentation/fig/datasegment.graffle --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/presentation/fig/datasegment.graffle Mon Sep 26 10:38:35 2011 +0900 @@ -0,0 +1,962 @@ + + + + + ActiveLayerIndex + 0 + ApplicationVersion + + com.omnigroup.OmniGrafflePro + 138.28.0.154505 + + AutoAdjust + + BackgroundGraphic + + Bounds + {{0, 0}, {559, 783}} + Class + SolidGraphic + ID + 2 + Style + + shadow + + Draws + NO + + stroke + + Draws + NO + + + + CanvasOrigin + {0, 0} + ColumnAlign + 1 + ColumnSpacing + 36 + CreationDate + 2011-09-24 09:10:15 +0900 + Creator + Shinji KONO + DisplayScale + 1.000 cm = 1.000 cm + GraphDocumentVersion + 6 + GraphicsList + + + Class + LineGraphic + Head + + ID + 23 + + ID + 28 + Points + + {268.891, 227.556} + {305.5, 227.556} + + Style + + stroke + + HeadArrow + FilledArrow + TailArrow + 0 + + + Tail + + ID + 22 + + + + Class + LineGraphic + Head + + ID + 22 + + ID + 27 + Points + + {326.75, 177.291} + {247.641, 207.82} + + Style + + stroke + + HeadArrow + FilledArrow + TailArrow + 0 + + + Tail + + ID + 21 + + + + Class + LineGraphic + Head + + ID + 21 + + ID + 26 + Points + + {268.891, 157.556} + {305.5, 157.556} + + Style + + stroke + + HeadArrow + FilledArrow + TailArrow + 0 + + + Tail + + ID + 20 + + + + Class + LineGraphic + Head + + ID + 20 + + ID + 25 + Points + + {326.426, 107.735} + {247.965, 137.821} + + Style + + stroke + + HeadArrow + FilledArrow + TailArrow + 0 + + + Tail + + ID + 19 + + + + Class + LineGraphic + Head + + ID + 19 + + ID + 24 + Points + + {268.89, 88} + {305.5, 88} + + Style + + stroke + + HeadArrow + FilledArrow + TailArrow + 0 + + + Tail + + ID + 3 + + + + Bounds + {{306, 208}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 23 + Shape + Rectangle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Data Segment} + VerticalPad + 0 + + + + Bounds + {{124.61, 208}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 22 + Shape + Rectangle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Code Segment} + VerticalPad + 0 + + + + Bounds + {{306, 138}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 21 + Shape + Rectangle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Data Segment} + VerticalPad + 0 + + + + Bounds + {{124.61, 138}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 20 + Shape + Rectangle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Code Segment} + VerticalPad + 0 + + + + Bounds + {{306, 68.4445}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 19 + Shape + Rectangle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Data Segment} + VerticalPad + 0 + + + + Bounds + {{124.61, 68.4444}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 3 + Shape + Rectangle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Code Segment} + VerticalPad + 0 + + + + GridInfo + + GuidesLocked + NO + GuidesVisible + YES + HPages + 1 + ImageCounter + 1 + KeepToScale + + Layers + + + Lock + NO + Name + Layer 1 + Print + YES + View + YES + + + LayoutInfo + + Animate + NO + circoMinDist + 18 + circoSeparation + 0.0 + layoutEngine + dot + neatoSeparation + 0.0 + twopiSeparation + 0.0 + + LinksVisible + NO + MagnetsVisible + NO + MasterSheets + + ModificationDate + 2011-09-24 09:13:12 +0900 + Modifier + Shinji KONO + NotesVisible + NO + Orientation + 2 + OriginVisible + NO + PageBreaks + YES + PrintInfo + + NSBottomMargin + + float + 41 + + NSLeftMargin + + float + 18 + + NSPaperSize + + coded + BAtzdHJlYW10eXBlZIHoA4QBQISEhAdOU1ZhbHVlAISECE5TT2JqZWN0AIWEASqEhAx7X05TU2l6ZT1mZn2WgVMCgUoDhg== + + NSRightMargin + + float + 18 + + NSTopMargin + + float + 18 + + + PrintOnePage + + QuickLookPreview + + JVBERi0xLjMKJcTl8uXrp/Og0MTGCjUgMCBvYmoKPDwgL0xlbmd0aCA2IDAgUiAvRmls + dGVyIC9GbGF0ZURlY29kZSA+PgpzdHJlYW0KeAGtlk1zGzcMhu/8FTjKB9P8Aj+uVdKZ + +NTUmumhk4NHlRpnpLiWnf7+AiSXy1VW6zhTazSmaQIgXjwE+QQf4QkUfRAThGjhtIM/ + 4CvcrJ81bJ9B58/zFq6VROBvt3APN7/tTtvdPy/f7g/i9ECutPfZnTegtZVepQAek0T6 + ge0Rbj4cNbx7zGG7tSZhv0xcWNZcYlKL3pxMiSJjTDxI4nLk0aX5MZdGTb3d/L473L88 + /LtbPx4eTw/H3cvpYQskhjau5h9QOucQtLMyRIVgk9RaqyhI7T0pfEvfL0Xz9V3WT8Hd + muXMf1zzLy4OpTF6jezU+Xmvd6Qw1dBwDRVQ/TpfOnmqoyfJyd8vG3JZoxi4dkoqgzaB + gw1V61ctldCw2cOfsFpfMQUGVo/D4K9hsBsGcEWQ0JK7NvP38K/j7koU+6/D1MsVfILN + LbzflO1OkbPKg59qp8+k67JqCmWzqTijGfN9ro3ovNgQZExUonl5bJQW0YVOHqpRkedd + yUqs7rv0shhtYlmdqm6njlhQZwRBoYwxpgrCNNd9X/gsEdPbbLWNs2bnEvVeMj5KGfCm + cM0eX8eo6bSIUSXkrULNYUTcaibfkj65G/gi1HzG3wvFp6PZklBSKUUu8xkeReYjvKRW + BaqplQ/xmVojVWI4dE2tShX8DFViRWfue6rmxKJ4WaxGBtoLVJWe1R2ZdvCarVHzGp/r + 1B+8RhVdFKVbvoUq8T80pyZUZfC15lSpuigUtRrxClU/KhQ8Ecx8S9NN0IBaEGoEqnXx + DqiaX+tKlOjPtymxmug0x4XxkXuqgRjhCHTVS8/Dw3Bucten7ES+qKyiZ0Nuv8Nan3hx + sfPJ0PgzHcxx1Z6EuaXvl75LtV5XVvahsXlLunOWlzCiw318ngv3OWu8dAYDaBVksHT9 + 0i4xSKok3cTWSRO8I6fsx7hESxJ4R0cJk81LozTRBMFzyZi8FfSSLPkBpCUaulw4wRnj + 5TwHA22D1E6FLhptLEgVwiSatlq6gHYSbTAWx5zBJSW4NdaqkhKUPrGYlaDaIj+82txB + sBJcgzxfrwxXOahz5bKmjXCN65zJr5u8ucFadNaXtShtu8Zr+ygs1b25vF/RxdOenrac + w8BWXdkyW+KCIgrmIjjOnB4QJtGTirnwMgYfwSjiAgmakQunrQWkx030Tue1QSb0Kc8l + h453R50wJLLHSGA4W2DlOp9bL6ohmoUhZrWlh35hNsfjOYXEcRfPKEKDNzOAmOMN1m9h + w5isIPb1bnNnbLR+1tUKMbMxnNhcFcTMBs+VPsCzorNeVGNkse2ji2fozU6esGfD2MzG + NB501szGx/8AKk+/9gplbmRzdHJlYW0KZW5kb2JqCjYgMCBvYmoKMTAwNgplbmRvYmoK + MyAwIG9iago8PCAvVHlwZSAvUGFnZSAvUGFyZW50IDQgMCBSIC9SZXNvdXJjZXMgNyAw + IFIgL0NvbnRlbnRzIDUgMCBSIC9NZWRpYUJveCBbMCAwIDU1OSA3ODNdCj4+CmVuZG9i + ago3IDAgb2JqCjw8IC9Qcm9jU2V0IFsgL1BERiAvVGV4dCAvSW1hZ2VCIC9JbWFnZUMg + L0ltYWdlSSBdIC9Db2xvclNwYWNlIDw8IC9DczIgMTEgMCBSCi9DczEgOCAwIFIgPj4g + L0ZvbnQgPDwgL0YxLjAgMTIgMCBSID4+IC9YT2JqZWN0IDw8IC9JbTEgOSAwIFIgPj4g + Pj4KZW5kb2JqCjkgMCBvYmoKPDwgL0xlbmd0aCAxMCAwIFIgL1R5cGUgL1hPYmplY3Qg + L1N1YnR5cGUgL0ltYWdlIC9XaWR0aCAzMzIgL0hlaWdodCAxMjQgL0ludGVycG9sYXRl + CnRydWUgL0NvbG9yU3BhY2UgMTMgMCBSIC9JbnRlbnQgL1BlcmNlcHR1YWwgL1NNYXNr + IDE0IDAgUiAvQml0c1BlckNvbXBvbmVudAo4IC9GaWx0ZXIgL0ZsYXRlRGVjb2RlID4+ + CnN0cmVhbQp4Ae3QAQ0AAADCoPdPbQ43iEBhwIABAwYMGDBgwIABAwYMGDBgwIABAwYM + GDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIAB + AwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBg + wIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYM + GDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIAB + AwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBg + wIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYM + GDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIAB + AwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBg + wIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYM + GDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIAB + Awb+BgbifwABCmVuZHN0cmVhbQplbmRvYmoKMTAgMCBvYmoKNTYyCmVuZG9iagoxNCAw + IG9iago8PCAvTGVuZ3RoIDE1IDAgUiAvVHlwZSAvWE9iamVjdCAvU3VidHlwZSAvSW1h + Z2UgL1dpZHRoIDMzMiAvSGVpZ2h0IDEyNCAvQ29sb3JTcGFjZQovRGV2aWNlR3JheSAv + SW50ZXJwb2xhdGUgdHJ1ZSAvQml0c1BlckNvbXBvbmVudCA4IC9GaWx0ZXIgL0ZsYXRl + RGVjb2RlID4+CnN0cmVhbQp4Ae3d+TdbWxsHcK0hMg9CiIRIBCFEiJkaoqnUkJbSoMag + Tc0qNStFlRpqqqmUlmqpVqvV23vb9f5r77MTt5Pk3Ht+eH95s58fuqy1t67l49nnnFjr + PF8XF1xYAAtgASyABbDA/5/AOVz2BMj9ouF/OP+jXHGdCvwwQcb/wtTmCN/t5uaO66yA + m5ubqytS/UdOq6SN0YNC8bQVFReVempBoXh4ALDNk6g5TyXd3T2AkUqj0el0BoPBxIUE + QAI86DTESgFPN9SdDk86onSFkw2QwMhgslhsDoeL67sAh81msZgM8Pyu6aA1rZTQk0iS + yWJzuTwvPt/b28dHgAsEfHy8+Xy+F5fLYbEYdKsmak37nYm6EjUlkuSAo4/A108o9BeJ + xLjEYpHI318o9BUIvMGTY9X0sJ5ze5hWSrhQ0hkgCZCgKA6USIKkMlxWAWmQJDBALBL6 + Cby9uGwmneZpxbR3ys+hayWFCk3J9fLxFYKjVCYPCQ1TKMLDI5y9wsPDFYqwkJBgWZAk + QCQU8Hkclg3T3imHtgRKTzqTzeULhGKJNDhEEa6MVKnUanUMrhi1OloVpYwAUFlQgMjP + x4trw3S1c8WEtoTbDs1K6R8olYdFRKrUsXHxCUnJUCnOXYggKTEhXhOjVikVITKJWGjF + pHq6u9lpzHPQlp40BovL9/UPlIVGRKk1CUkpaekZmVqtNtvZCwyyMjMupCUnxsVGRypC + pAgTjrknxf1sY9rakg6UAn9JsCJSrUlMSc/M1uXoc/PyC3Dl5+Vd1l+6qM1IS06IVUVY + MXlsJg015u93cmQJJ5wDlIHBClVsQmqG9tLlfENhUXGJEZfReL2kuPCqIU+vy7qQHKdW + AqafN1wyqR7QmL/9mQOOuIcnncXzEQbKFFGa5PRsfd7Va8byisrqWpOpztnLZDLVVFeW + l5YUGnJ1WWkJgBkk9uVzUWOeOeTnzsPzELSlr1gaGhmbnKHLvVJcWlFtajA3NjW3tDp7 + tbQ0N5lv1tdWlRuLCvRawAyXS4Q+PLhiepw55OiIw9XSRyiRR6gT0nV5hcYbNfXmptaO + TktXN67uLsud9pbGm6aq8mKDXpuqiQqTQWNyGFSKfUu2l0AsDYvSpGYDZaXJ3Nxu6e4b + GBwaxjU8NNjfc/dOa2NDzY1iQ05GkjoiBBqTy6JR3H+/+Zx39aAyOHy/wOAIdWKG/oqx + su52q6V7YGhkbHxiEtfEw/HR4cG+ro7mW7Xl1/IvpsVFhQWJBF5wyN1df7uRw62HykRH + PCRSk6LNL75hut1m6RsaHZ+cnn08j2tudmZqYuz+YPedlpvVpYX6jER1ODrkbGT52438 + vBuFxuIJRNIwVcKFS1dLa261WvqGH0zOzC0uraysOnutrCwvzc9OPRwd7LnTVF9ZnKdN + iVXKA4XeHPuWdBZcLmXh6qTMy9cq6puAcnzq8eLy2tPNZ1vOXs82N9dXl+ZnJkYHu9vN + NaUGXVqcKlTi78NlUD3O9iXcxvl+AXJlLBxxY7W5vfveg6m5pdWNrec7uy+dvXZ3Xmxv + rq8szEyMDFia6yvgkCdEK6QiAZdJhYeiXx/Wz8MjEZuPLpdxaTpDmanJ0j8y+XhpbXN7 + d+/1/oGz1/7+q72dracr89Pjwz3tt6qLc7OS1AqZWMBj0uxacsAyVJWQnlNY0dDaPTQ+ + s7i6+fzl/sHhuyNnr3dvDw9e7Ww/XZ57NNrf2VhrzNcmx0YEi3158FBkpy8ZHG9hENx6 + MvRFleaO3pHJueUNoDw8en+M68PRuzevdrbWlmbG73U115UZLqZqlPIAP3gosmvJ9faX + KqKTMnOLq2939o9NL6xt7b4+PDr+dPLZ2evk5OOHdwd7LzaWH08O97Q2lF+Fm48yJNCx + pY9IqlAna/NKapssg+MzS0+f7715d/zp8xdcf5x8fP92f/fZ6vyj0b72mxWFly7ER8In + H76jvvQRwSMRWF43NXcNTcwub+68PnwPlH99dfb6688vJ8dHB3vb64vTY/0d5srCnPSE + qH9hmW80tXQPT86tPNvdf3d8ApTfnL2+fv3zj0/vD189f7o082DgjrmySO/QEv7k5sng + nvalHcv/OHd9+0bG0tUdPo7Dx56ImJTsAmNdK/Tl/OrWy4Oj489fvn5zbkn46b99/evL + yYfD1y82nsyOD3berroGD+vwwUdo/UD+67P6OWxJ2C/YkpCH1CK2JMVFuBlbEvKQWsSW + pLgIN2NLQh5Si9iSFBfhZmxJyENqEVuS4iLcjC0JeUgtYktSXISbsSUhD6lFbEmKi3Az + tiTkIbWILUlxEW7GloQ8pBaxJSkuws3YkpCH1CK2JMVFuBlbEvKQWsSWpLgIN2NLQh5S + i9iSFBfhZmxJyENqEVuS4iLcjC0JeUgtYktSXISbsSUhD6lFbEmKi3AztiTkIbWILUlx + EW7GloQ8pBaxJSkuws3YkpCH1CK2JMVFuBlbEvKQWsSWpLgIN2NLQh5Si9iSFBfhZmxJ + yENqEVuS4iLcjC0JeUgtYktSXISbsSUhD6lFbEmKi3AzKUuid56/4hd1Hb/zzHYwb8Px + ++P4Xfx//y6+yy99aZsR8XgFz4j4ezbGjxkRS6czIhzPNfhu+dPsktknGzC75Oj45I8v + fzp7ffny+dMHNLtkbWFqtK/jVkVhzj/MLrHN1MmCmTqNnQMPphfXt3b3D98fn+CZOicn + n46P3uy92FyZm7zf0/Zjpo6jOTAcb/8ghQqmX16rMnf0jU7Or2w+39t/e/Th+KOz1/Hx + +6PD1zvb60uz40NdLfVlBh3hrCf6rzPIhmFA0dqzF3v7h2+dfQIZ/PzvDt+83t3eWJmf + Gh2wNJmMBdkp1hlkXvZnkP2YjXelvK7ZMjD6aO7J+rPnL1/tH7xx9jrY39/b2d5YXZh5 + ONzbYa4uyctKjoFhogKY52Z3Nh4bRtyGKGFacL6xxtzRMzQ+Pf9kfXP7xe7LPWevly93 + nsOYwaXZydHBuy0NFUUwBkZtm9lox9IN5l96+YqDYXAW3HwqG1osfffHp+eWVtY3n23j + 2trcWFtemJ0cu9fTcbu27AqMIFOFBjmaJWqdcSuWKaLR0MayWnNb18D98anZ+aXl1bV1 + Z6+11ZUni3PTk2NDfZ3NDZUl+dkpGjTOzZvDsDsvGM1e9g8KQSPBC0oq65rau/qHxyam + Zh7PLyw6ey3Mz81OT46PDvZaWs01ZYX6TBgKHhzgZ3/2sm0muDBQrlQnZegLjVUNje2W + 3sHhURgJ/mjK2evRo8mHD0aG+rs7W82mG8UFurR4lUIqdjATHM39Z0OEgkyhiku7mFdU + Wl1/u/XO3d7+e8P3R0advUZGYFh9X7elvemWqeL6FX1mcozydFa9p51Z9ShDgQeBMyFK + GFZ/Kb+otNJ0s7G1vfNud09vn7NXby+EKHS0NZvrq29cv5qbjdpSJvaDcA/q2bn/KNuD + xuTy/cQyCFFIybyUV1hSXmVquHW7qaW1rd3Zq62ttQXCPeqqK0qLDZcvpieiCAV/gReM + qj+b7WHLnGHzBEJIQlLFp2ToLhsKS0pvVFWb6uobcDXUm2ohdMZYfDVfr72QFBsZCgE+ + fAjwsZs5gyK6oDEFIok8XKVJSsvS6fMMhddKjKVl5bjKy4zXi4uuFuTmZGekJsREhskC + IHKGDTEpZyIUXFCuFAQaorgzK2ZMfHJahlaXczkv32C4gstgKMjLhZCurPTURI1aiSgF + XhwmtOWZyBkXwLRmx7F53n5iiVyhVMXGJ6LouCxttg6XTncxW5uVcSE1OUGjjooIRZTo + hFvb8reYFGSJMg0hHpLnDZ0pCwFNiDRMSIQ8w9Q0XGmpKclJKNQwOioiTC61UTJQdNyZ + iC5kibI2IbaUA5j+AaAZFq6MUkWrY2I1uDSa2Fi1WqWCsM1QuRTiIVFXAiVKjjvTli4u + KJnYwxMwrcGlogBrBGyYAvJflbhAIAJSYENRCGygWOiLkjaBkmI3avO0Ma2YEKjrLfAT + omhilEwcHCzHJQ8OlsmkEkmA2B8kT+N0Ke7Qlvb6EsWPu7lD0DONDuHjp5HZkPUMFYAr + ABhEEJrth0KzeVwWEyWQO6K0NiYkPUM+MRVis9kcFOV+GuTuiwuCxyHOHdLcvXiQPo4C + s22J2eftRWZDnA80JsrNhtak0ukMJosNoFwuj8fzwgUKYMHlsFksJoNOszYl3MIdUQIm + aKLWBE1PKo0OoAwmk4XLJsBkgiIdHKmoJ9HDEAGlDfO8q5XTgwKgQApFwwUCSAKJUCgA + +Y+SKLXrHOpNxAntaS0PXN8FbCJubq6oJR0f75/Sz2ycaLfrabnh+psCsUCBkZ1H9J8Q + f/4SbbaW7Xvxv6eAVpOfofDXWAALYAEsgAWwABbAAljgfyXwX5vrQjAKZW5kc3RyZWFt + CmVuZG9iagoxNSAwIG9iagozMjg4CmVuZG9iagoxNiAwIG9iago8PCAvTGVuZ3RoIDE3 + IDAgUiAvTiAxIC9BbHRlcm5hdGUgL0RldmljZUdyYXkgL0ZpbHRlciAvRmxhdGVEZWNv + ZGUgPj4Kc3RyZWFtCngBhVJPSBRRHP7NNhKEiEGFeIh3CgmVKaysoNp2dVmVbVuV0qIY + Z9+6o7Mz05vZNcWTBF2iPHUPomN07NChm5eiwKxL1yCpIAg8dej7zezqKIRveTvf+/39 + ft97RG2dpu87KUFUc0OVK6Wnbk5Ni4MfKUUd1E5YphX46WJxjLHruZK/u9fWZ9LYst7H + tXb79j21lWVgIeottrcQ+iGRZgAfmZ8oZYCzwB2Wr9g+ATxYDqwa8COiAw+auTDT0Zx0 + pbItkVPmoigqr2I7Sa77+bnGvou1iYP+XI9m1o69s+qq0UzUtPdEobwPrkQZz19U9mw1 + FKcN45xIQxop8q7V3ytMxxGRKxBKBlI1ZLmfak6ddeB1GLtdupPj+PYQpT7JYKiJtemy + mR2FfQB2KsvsEPAF6PGyYg/ngXth/1tRw5PAJ2E/ZId51q0f9heuU+B7hD014M4UrsXx + 2oofXi0BQ/dUI2iMc03E09c5c6SI7zHUGZj3RjmmCzF3lqoTN4A7YR9ZqmYKsV37ruol + 7nsCd9PjO9GbOQtcoBxJcrEV2RTQPAlYFH2LsEkOPD7OHlXgd6iYwBy5idzNKPce1REb + Z6NSgVZ6jVfGT+O58cX4ZWwYz4B+rHbXe3z/6eMVdde2Pjz5jXrcOa69nRtVYVZxZQvd + /8cyhI/ZJzmmwdOhWVhr2HbkD5rMTLAMKMR/BT6X+pITVdzV7u24RRLMUD4sbCW6S1Ru + KdTqPYNKrBwr2AB2cJLELFocuFNrujl4d9giem35TVey64b++vZ6+9ryHm3KqCkoE82z + RGaUsVuj5N142/1mkRGfODq+572KWsn+SUUQP4U5WiryFFX0VlDWxG9nDn4btn5cP6Xn + 9UH9PAk9rZ/Rr+ijEb4MdEnPwnNRH6NJ8LBpIeISoIqDM9ROVGONA+Ip8fK0W2SR/Q9A + Gf1mCmVuZHN0cmVhbQplbmRvYmoKMTcgMCBvYmoKNzA0CmVuZG9iagoxMSAwIG9iagpb + IC9JQ0NCYXNlZCAxNiAwIFIgXQplbmRvYmoKMTggMCBvYmoKPDwgL0xlbmd0aCAxOSAw + IFIgL04gMyAvQWx0ZXJuYXRlIC9EZXZpY2VSR0IgL0ZpbHRlciAvRmxhdGVEZWNvZGUg + Pj4Kc3RyZWFtCngB1VlnWBTNsu7ZvMASlpyXnHNaQHLOOYrAknPOqCgCSlAQlQwiKCAq + CEgwgYAJQZIIKohEBUFFBBQTd9DP7zvnOff8u3/uPM/0vFtVXV071d3VVQMA+yolIiIE + QQ9AaFhMlK2RLsnZxZWEnQRYwA3wgAcwUbyjI3Ssrc3Bf722xgG0y3witavrv4r97wwG + H99obwAga5jt5RPtHQrjawAgdL0jomIAQH6B6cPxMREwRj2EMVMUbCCMp3ax/2+8tou9 + fmE06peMva0eAGg2AHA0FEqUPwAEQZhOivP2h/UQ9AHAEMN8AsMAYHSGsaZ3AMUHAPYi + WEYyNDR8F9+FsajXv+jx/xdMoXj9rZNC8f8b//4vcE94YP3A6IgQSuKvH/+XTWhILPy+ + fl1EuKUJC7Hc9Q0LfC/5UPTN4CcXfP+MCPnlM1gG4vANc7CDabtYMszL0uovrOkXZWgL + Y7gvZB0Ro7uL4XcG+UXEWNv/RT+YFKBnCWMamH7aN9rgj57KIIrprs9oYXpzVKytA4wF + YXwnOs7OAMbwjIIWkgLsnf6S2fDx1f+LjkD4BRqa/JZBEANjTHbHYoJ9zh8cbrZrAzwW + QhmYgRDgC2JBFNyGASlgDvSA/l+tFPADFJgTB/OiQTB4DeNQuEc43CccxqS/5PT+g2L4 + q58/3O/fNZKANywb+/eYv0cjwWP+0RkIfGD8h06Bx9jl7VoX7RGY+s+YfyR29f2yRvaS + 7LLs9z82oYRR8igllC5KA6WJUgUkFAuKA0ihFFFklA5KC6UO81SBIViANfv/sXFXf2iz + X1xReKKaYwDM3f3vXn+4wPGXdODfv//DAhA4uNq++scCAGJ8E+B1AIBeeERiVKB/QAxJ + B165vpIkkzBvaUmSvKyc3C77/821u2f9NvaT7a+9CGIZ+ocWtQWA+hN4Po7+Q3MvA6C5 + EAC6L//QhPQBYCgAoNvLOzYq7rc+1O4DDagAHTxD2eH9UACIwu9ZHigDdaANDIApsAL2 + wAW4w/MnAJ6DUSAe7AeHQQbIBnngDCgBZ0E1qANXQDNoB7dAN7gP+sEweAomwQxYBCtg + DWyBbxAEYSECxAixQ7yQECQByUNkSBMygMwhW8gF8oT8oTAoFtoPHYGyoXyoBDoHXYSa + oOtQN9QHjUDPoVloGVqHviKQCBoEE4IbIYyQQZAROggzhD1iH8IfEYlIQqQhTiCKEFWI + y4g2RDeiH/EUMYNYQWwiAZIayYLkQ0ohyUg9pBXSFemHjEIeRGYhC5BVyAbkDeQD5BPk + DHIVuY3CoBhRJJQUPE+NUQ4ob1Qk6iAqB1WCqkO1oe6inqBmUWuon2gCmgstgVZDm6Cd + 0f7oeHQGugBdg25F30M/RS+itzAYDAtGBKOCMca4YIIwyZgcTDmmEXMHM4KZx2xisVh2 + rARWA2uFpWBjsBnYYuxlbBd2FLuI/YKjxvHi5HGGOFdcGC4VV4Crx3XiRnFvcN/w9Hgh + vBreCu+DT8Tn4s/jb+CH8Iv4b1QMVCJUGlT2VEFUh6mKqBqo7lFNUX2ipqbmp1altqEO + pD5EXUR9lfoh9Sz1Ng2RRpxGj8aNJpbmBE0tzR2a5zSfCASCMEGb4EqIIZwgXCT0EqYJ + X2gZaaVpTWh9aFNoS2nbaEdp39Ph6YTodOjc6ZLoCuha6IboVunx9ML0evQU+oP0pfTX + 6SfoNxkYGeQYrBhCGXIY6hn6GJaIWKIw0YDoQ0wjVhN7ifOMSEYBRj1Gb8YjjOcZ7zEu + MmGYRJhMmIKYspmuMA0yrTETmRWZHZkTmEuZbzPPsCBZhFlMWEJYclmaWcZZvrJys+qw + +rJmsjawjrJ+ZuNk02bzZctia2R7yvaVncRuwB7MfpK9nf0lB4pDnMOGI56jguMexyon + E6c6pzdnFmcz5wsuBJc4ly1XMlc11wDXJjcPtxF3BHcxdy/3Kg8LjzZPEM9pnk6eZV5G + Xk3eQN7TvF28b0nMJB1SCKmIdJe0xsfFZ8wXy3eOb5DvG78IvwN/Kn8j/0sBKgGygJ/A + aYEegTVBXkELwf2ClwRfCOGFyEIBQoVCD4Q+C4sIOwkfFW4XXhJhEzERSRK5JDIlShDV + Eo0UrRIdE8OIkcWCxcrFhsUR4kriAeKl4kMSCAlliUCJcokRSbSkqmSYZJXkhBSNlI5U + nNQlqVlpFmlz6VTpdun3MoIyrjInZR7I/JRVkg2RPS87KUeUM5VLlbshty4vLu8tXyo/ + pkBQMFRIUehQ+KgooeirWKH4TIlRyULpqFKP0g9lFeUo5QblZRVBFU+VMpUJMhPZmpxD + fqiKVtVVTVG9pbqtpqwWo9as9kFdSj1YvV59aY/IHt895/fMa/BrUDTOacxokjQ9NSs1 + Z7T4tChaVVpz2gLaPto12m90xHSCdC7rvNeV1Y3SbdX9rKemd0Dvjj5S30g/S3/QgGjg + YFBiMG3Ib+hveMlwzUjJKNnojjHa2Mz4pPGECbeJt8lFkzVTFdMDpnfNaMzszErM5szF + zaPMb1ggLEwtTllMWQpZhlm2WwErE6tTVi+tRawjrW/aYGysbUptXtvK2e63fWDHaOdh + V2+3Za9rn2s/6SDqEOvQ40jn6OZ40fGzk75TvtOMs4zzAed+Fw6XQJcOV6yro2uN6+Ze + g71n9i66KblluI3vE9mXsK/PncM9xP22B50HxaPFE+3p5Fnv+Z1iRamibHqZeJV5rXnr + eRd6r/ho+5z2WfbV8M33feOn4Zfvt+Sv4X/KfzlAK6AgYDVQL7Ak8GOQcdDZoM/BVsG1 + wTshTiGNobhQz9DrYcSw4LC74TzhCeEjERIRGREzkWqRZyLXosyiaqKh6H3RHTFM8OFw + IFY0Nj12Nk4zrjTuS7xjfEsCQ0JYwkCieGJm4pskw6QLyahk7+Se/Xz7D++fPaBz4NxB + 6KDXwZ4UgZS0lMVDRofqDlMdDj78OFU2NT9144jTkRtp3GmH0ubTjdIvZdBmRGVMHFU/ + evYY6ljgscFMhczizJ9ZPlmPsmWzC7K/53jnPDoud7zo+M4JvxODucq5FXmYvLC88ZNa + J+vyGfKT8udPWZxqO006nXV644zHmb4CxYKzhVSFsYUzReZFHcWCxXnF30sCSp6W6pY2 + lnGVZZZ9LvcpH63Qrmg4y302++zXysDKZ+eMzrVVCVcVVGOq46pfn3c8/+AC+cLFGo6a + 7JoftWG1M3W2dXcvqly8WM9Vn3sJcSn20vJlt8vDV/SvdDRINZxrZGnMvgquxl592+TZ + NN5s1tzTQm5puCZ0rayVsTWrDWpLbFtrD2if6XDpGLluer3nhvqN1pvSN2tv8d0qvc18 + O7eTqjOtc6crqWvzTsSd1W7/7vkej57JXufesbs2dwfvmd17eN/wfu8DnQddDzUe3upT + 67v+iPyovV+5v21AaaD1sdLj1kHlwbYhlaGOYdXhGyN7RjpHtUa7n+g/uT9mMtb/1PLp + yLjD+LMJt4mZZz7Plp6HPP/4Iu7Ft8lDU+iprJf0LwumuaarXom9apxRnrk9qz87MGc3 + NznvPb+yEL3wfTHtNeF1wRveNxeX5JduLRsuD7/d+3ZxJWLl22rGO4Z3Ze9F31/7oP1h + YM15bfFj1Med9ZxP7J9qNxQ3ejatN6e3Qre+fc76wv6lbpu8/eCr09c33+K/Y78X/RD7 + ceOn2c+pndCdnQhKFOXXWQAJtwg/PwDWa+EcwgXOHYYBoKL9nVP8koDTFQiWgbEEuAuJ + QXHQNByBLyJJyFKUAOoyWhs9hcnBmsAxbBHfRXWF+jJNK6GX9iU9hkGBGM7YyvSdxZC1 + nG2Lw5rzEjeGx523hY/A7ytwTQgt7CxSJfpWnCyxX7JLGsjoyabL9SogFfWU0pRvqWyo + Sqi5quftuauxpSWi7aSTodusN2WAMhQzMjH2M0kxPWN2yfy2xaDllNV7GxpbeTsH+wSH + EsebTi+cv7py793j5rIvxj3Po96zlzLl9cmH2lfQT9XfNMAh0DMoKDgiJCn0UNiR8KMR + xyLTo9Ki98dExwbFecbbJuglKiSxJX1Mvru/8EDYQcMUvkPg0PzhvtTmI5VpJ9PTMxKP + Rh8LywzOCs8+lfP2hFrusbzRfLZTLqeLz4wWYotUi/1KTpTeKJuuQJ4VqbQ8F1dVVt19 + fr4GVytRZ3kxoj7/UvPlwSurjfirwk3azXtbYq/ltl5su98+17Fzg+Om0i2L25TOmK70 + OwXdF3oaem/cvX9v7P7LB4sP3/UtPnrWf2+g+XHJ4MEh92H1EeaRD6O9T4rHwp5qjxPH + FyYankU/V3y+8aJx0m+Kc2ro5eFp+emFVwUzZrPI2ZtzcfMqC2Dh8WLha883om8+LDUv + R76Vfvt2pWrV5R3hXef7iA8CH6bWzn4MXFf7RPy0sTG3Obk193lzm+mr1rfo71d/rO8I + 7ezA/ucGRyAGyAlqR/Ag4hAzSHNkN3ziH0J7YxCYBqwfTgS3hh+kaqWuo6kkVNO20D2m + 3yCyMxozJTI3sSyzibJ7cVRxvuBm5bHnPUq6zfdRQEjQSihZ+LxIv+g7cXoJOUk7qQjp + 4zJXZB/Kzch/UaRT4ldWU7Ege6vGqB1RP7WnSqNBs0OrS7tX555uLxxXbhm0Gl42qjIu + M6k2bTLrMn9kMW45a7VivWEL7KjtiQ4cjvxOIs7SLgqu5L2abgb7jN2NPLQ9yRRZLxFv + Xh8WX4Ifym/b/0PAQuCzoIHg7pD20PqwivDciIRIwyhC1Fh0RUxILDkOG/civjEhI3Ff + knIyQ/Lq/kcH6g9mpgQccjjslEo5EpoWl56ScfToqWMVmbVZV7Nv5Tw4PnLiZe5S3qd8 + cIr+NN8Z+QKdQrsiv+K4kvTSgrKa8msVXWcHKsfPzVYtV384v11DVStWZ34xsr7kUvfl + tw30jRpXg5oKm++3fG4VbXNrz+3ovr5xk3RL77ZPZ1pX2Z3G7u6e/t7Bu8Pw7tT5oOlh + VV/uo+R+/wGHx7qDkkNMQ9vDz0daR/OeBI/pP+V++mX8yUTzs/znMS9cJ/WmZF5yTlNN + f321OjMz+3Suf/7eQvdi5+vON7eWbi93vu1a6V19/G7xA2ZN8WPU+q0Nls0jWz++pH9l + +9b6w+nnz1/+d4SYIF949Ssi0hFvkIbIyyhu1Ak0NToTQ8Scx2pip3EZeDJ+i6qN+hCN + HUGKlkC7TjdHP8TQS+xmfMz0kvkDK4qNjV2UQ5vTlsuXO57nKO8Z0nm+Fv4ugT7BJ0Kv + hJdF1kS/iAMJnCROckfqo/QrmWHZO3KN8uUKmYqxSu7KhioSZDryB9URtQb1zD0+Glqa + 7JprWn3a1Topuh56Wvo8BgiDBcMHRvXGx02iTB3M1M25LYDFnGWPVbV1mo2fraWdur2g + A43DB8dBp3rnNBdnV3HX73sH3Er3BbqreVB7vPRsomR5+XqLey/6lPu6+HH4vfKvD0gM + tAgSCoaCX4bcDC0Oiwm3jBCJ+BHZH5UXbRfDGvMitixuXzwpfi6hOtE7SSjpdXLNfv8D + IgeWDtanRB+yPCybypC6eeRFWmd6FTxPQo5ZZ8pnMWVtZY/nXDt+8kR4rk2e0kmefOr8 + r6fenZ47M1EwVjhW9LT4eclM6XLZZvnOWXwl1zmtqrDq8vODNVCtYp3/xdL6vkvrV1ga + lButr/o0xTQfbjlxrbq1s228ffU6uEG8yX9L8bZJp1fX4Ts13WO9VHeN7h2/P/6Quk/p + kUd/zsCtx2tDYsOUkcrR6TGep27jZRMvnrO9cJ48N7U17fJqeNZtHrfw7PXYMn4l5j1x + 7c2n+c/cX8N/vNr1/+/a0m5MwCgDcIEBAEc4B7W8BEBlOABCcL2GHq6XWBMAsFcFiNge + gKAZAFDOwN/xAwEIcK4pD0zgLD8JnIazx4dgDnyHWCEFyAoKho5BF6AeaAbaQfAiNBEe + iENwVncPsYykRSoi3ZBpyCvICRQSJYfyQJ1AdaHW0cJoV/RJdB8GidHEJGHaMVtYFWwC + 9ib2J84Adxw3gefHR8IRiY7Kk+oaNZ7ak7qDhpEmnGaQIEPIJ2zTetEO0mnQNdDz0xcx + EBlOEHHEFOImYzjjWyZ/ptfMAcwrLBEsm6wH2ajYithF2K9zWHIscB7gYuO6xm3LvcFT + ymvEu0mq4dvLT8/fJ3BU0FCISuiJcLlIuKi+GJfYZ/FnErcla6TOSTfK9MiOyi3If1KE + lGiUWVV4yEJwZFNQV9mzR0NXU09LVGtVu0MnXddBT1Tvp/4Lgw7DIqODxoEmjqYmZjrm + mhZkSw0rHWtjGxtbZzsv+3CHFMdTTrXOt10mXNfd6PfJutt7HPCspYx5I3wUfYP9zvlP + BrIFOQTnh4yGMYY7RVRELkWrxuTFLscbJ9Qm0SYf2P/2oGtK32Hd1M401fTRo/GZYlmv + cqpOROZZ5Cud5i8gFXGWCJTJVehXhlU1XUDW+l9cvBzWiGiqvKbRNnTd++a7Tvs7zb08 + 93If0jwqfqwx9H706tP0Z6GTbtPyM3PzsYsfl2zfFq52v3+yNrh+Y6NwK+CLzPbatys/ + /H/tH0i43iAAVxjs4RpSFqgBveAV7H12uCbgCFcBTkMt0Bi0iWBFqMG5fSqiFjEIZ/E8 + SBNkDLISOYTcQcnCmXkhahCNgc8cyejr6G2MGuYAphuLw9pgS7CLOEVcGm4cL44/jH9G + JU91nGqF2py6Ac5+E2nmCVaELlp52jo6froKem76SgZhhkaiPLGZUZHxOpM2Ux+zA/M8 + SzQrkrWQTYbtIbs3BwKOVQacb7lOcqtyv+Yp4rUh0ZAe8WXzWwgwCkwK1gjFChuIsIt8 + FH0kVi1+RMJb0kSKLG0uEy6bL9ckP67wRYlNWVXFjZyiel6tT/29BqumrtY+bYqOt66f + Xqh+gkG6YZ5RhXGTyQPTSbN1C4KlqJWBta/NMdtauz77FUd6JzVnT5dM1469y/s43a09 + jnk+8MJ4m/nk+U76SwYkB/YHY0J0QuPCmsJfR/JFuUaXxkzEMcRbJ5xIfJxMs9/iwPGD + jw8RDzunVhxZSdfPqDmGz4zIWszxOj6XG3YSl19z2qmArnCouLA0sFz3LHvlx6qR8zdq + Ltddre+6/LRhrYm5RbHVuT3++pmbV273dA11v+p9d+/bQ/Qj+gHOQaFh8VHpMYVxxWea + L3SnTKZtZ1zn7BdUXhPfTC+fWdFdnX0f82HzY/T6wob1Zttn5i8R233fSN/jfvT/8j8E + cIADXv+WcEXpOGgEo2AT4oC04JiSA12DphBYhDzs+aOIFvhsQYvUREbAdZoJFAFlgEpB + 3URto8noRPRteLWbYk5hprGS2GTsAFw3iceN4ZXwJfgdqgCqJ9S61C00ovD5g4tQSkui + vUCnQNdD70C/wpBB5CfeYXRn/Ml0jlkPXtunWLVYV9nK2a04MBxd8LrW5EZwP+TJ5jUn + MZFm4JiUKxAu6CpkIqwhQhYliymLq0poSGpKaUi7yxTLDssjFaQV7ZXilc+oNJNHVdfV + OfcYayRptmnT6oTqDuurGdQbsRvnm9Kb5VqwWJ61lrbptjOyb3CkdYpxfuq6Z2/dPkb3 + VI8tSqTXO58I323/rECOoNoQ+dBr4SoRbVEK0ddiJeMqE1gSs5K+7w8/MJ1idehh6p4j + 59M2MvSPFhxbzlLNzsmZO0HOzc37kO9w6u4ZpYLWInLxo1Kvsp2KikrZc53V9uff12TX + yVx8fintimTD2NX4ZoaWulaltpsdmtev35S8da5TvKu/O6SX9m7jfYsHS30p/ewDzYP6 + Q5MjkU/wY3XjOhNzz49Mikz1Tju+mp31mZtY0Fwsf720JLLs+jZ5JW+1/F3R++wP0WsO + H2XW0esjn8o23Da5Np9tnf5s+vnzlwvbNtvfv9Z+s/j2Cc461H9M/+zb9X+0n4L8bvQA + EI0uXH6c3tn5JAwANh+AHyd3dr5V7ez8qIaTDfgbyJ2Q398rdoUxcM297MouuhdSufv4 + t+t/AM5+iscKZW5kc3RyZWFtCmVuZG9iagoxOSAwIG9iago1OTY1CmVuZG9iagoxMyAw + IG9iagpbIC9JQ0NCYXNlZCAxOCAwIFIgXQplbmRvYmoKMjAgMCBvYmoKPDwgL0xlbmd0 + aCAyMSAwIFIgL04gMyAvQWx0ZXJuYXRlIC9EZXZpY2VSR0IgL0ZpbHRlciAvRmxhdGVE + ZWNvZGUgPj4Kc3RyZWFtCngBhVTPaxNBFP42bqnQIghaaw6yeJAiSVmraEXUNv0RYmsM + 2x+2RZBkM0nWbjbr7ia1pYjk4tEq3kXtoQf/gB568GQvSoVaRSjeqyhioRct8c1uTLal + 6sDOfvPeN+99b3bfAA1y0jT1gATkDcdSohFpbHxCavyIAI6iCUE0JVXb7E4kBkGDc/l7 + 59h6D4FbVsN7+3eyd62a0raaB4T9QOBHmtkqsO8XcQpZEgKIPN+hKcd0CN/j2PLsjzlO + eXjBtQ8rPcRZInxANS3Of024U80l00CDSDiU9XFSPpzXi5TXHQdpbmbGyBC9T5Cmu8zu + q2KhnE72DpC9nfR+TrPePsIhwgsZrT9GuI2e9YzVP+Jh4aTmxIY9HBg19PhgFbcaqfg1 + whRfEE0nolRx2S4N8Ziu/VbySoJwkDjKZGGAc1pIT9dMbvi6hwV9JtcTr+J3VlHheY8T + Z97U3e9F2gKvMA4dDBoMmg1IUBBFBGGYsFBAhjwaMTSycj8jqwYbk3sydSRqu3RiRLFB + ezbcPbdRpN08/igicZRDtQiS/EH+Kq/JT+V5+ctcsNhW95Stm5q68uA7xeWZuRoe19PI + 43NNXnyV1HaTV0eWrHl6vJrsGj/sV5cx5oI1j8RzsPvxLV+VzJcpjBTF41Xz6kuEdVox + N9+fbH87PeIuzy611nOtiYs3VpuXZ/1qSPvuqryT5lX5T1718fxnzcRj4ikxJnaK5yGJ + l8Uu8ZLYS6sL4mBtxwidlYYp0m2R+iTVYGCavPUvXT9beL1Gfwz1UZQZzNJUifd/wipk + NJ25Dm/6j9vH/Bfk94rnnygCL2zgyJm6bVNx7xChZaVuc64CF7/RffC2bmujfjj8BFg8 + qxatUjWfILwBHHaHeh7oKZjTlpbNOVKHLJ+TuunKYlLMUNtDUlLXJddlSxazmVVi6XbY + mdMdbhyhOUL3xKdKZZP6r/ERsP2wUvn5rFLZfk4a1oGX+m/AvP1FCmVuZHN0cmVhbQpl + bmRvYmoKMjEgMCBvYmoKNzM3CmVuZG9iago4IDAgb2JqClsgL0lDQ0Jhc2VkIDIwIDAg + UiBdCmVuZG9iago0IDAgb2JqCjw8IC9UeXBlIC9QYWdlcyAvTWVkaWFCb3ggWzAgMCA2 + MTIgNzkyXSAvQ291bnQgMSAvS2lkcyBbIDMgMCBSIF0gPj4KZW5kb2JqCjIyIDAgb2Jq + Cjw8IC9UeXBlIC9DYXRhbG9nIC9PdXRsaW5lcyAyIDAgUiAvUGFnZXMgNCAwIFIgL1Zl + cnNpb24gLzEuNCA+PgplbmRvYmoKMiAwIG9iago8PCAvTGFzdCAyMyAwIFIgL0ZpcnN0 + IDI0IDAgUiA+PgplbmRvYmoKMjQgMCBvYmoKPDwgL1BhcmVudCAyNSAwIFIgL0NvdW50 + IDAgL0Rlc3QgWyAzIDAgUiAvWFlaIDAgNzgzIDAgXSAvVGl0bGUgKENhbnZhcyAxKQo+ + PgplbmRvYmoKMjUgMCBvYmoKPDwgPj4KZW5kb2JqCjIzIDAgb2JqCjw8IC9QYXJlbnQg + MjUgMCBSIC9Db3VudCAwIC9EZXN0IFsgMyAwIFIgL1hZWiAwIDc4MyAwIF0gL1RpdGxl + IChDYW52YXMgMSkKPj4KZW5kb2JqCjI2IDAgb2JqCjw8IC9MZW5ndGggMjcgMCBSIC9M + ZW5ndGgxIDg2MTIgL0ZpbHRlciAvRmxhdGVEZWNvZGUgPj4Kc3RyZWFtCngBvVp7eFTV + tV/7vOeRycxkJvPOzORkZjKZvENCQgIZQhLC05AgZpBAEgiECCViTIUKjRZUAnJFJCBY + Fa08RYZAZYBiKUXB21awrahce1tbqFyvKbe9YK2Qma5zJonAV/34w6/nzNp77b32Y+3f + Xnvtfc6ZzqUPtkICdAMNtTObO+aDfLmeAyDC3MXNHfG0/jLGJ+d2dbriaTYdgF40v2PB + 4nhaeAZA6ViwaNlg/aQzAOrOttbmeXE53MC4qA0z4mkyAuO0tsWdD8XT+kMYj1i0ZO6g + POlVTNsXNz802D98hGnXd5oXt8bLu6R6aR1LHugcTJdhXNaxtHWwPGlA/c4CwdwEWAIK + uA94oECLdyMAf1npAAalkhyveZmqjXMSy66BTpDTc6b8hxy/5f7J+S9ab/hUG4R/YIZi + qLwUc/6oH8dIUN6v2jAskethkBCB+kAEJiCVIxUiBQJjzdBNdsBTSC8i0bCQrIVlSGuQ + nkVihrndmDpC1vYxQvAoWQZWMjGoYpzTDRanWaly/jpCuEPPOz80/+kYseAIPyaWvgRQ + jFWSF8kLMA+c5BXwkOVQA+lk60H/ImcTinZDB1I3Ei2HhOzuS8l3vkEywcMQrOOFFIa8 + 7vwkL8t5KS9CkT7nSV+EwehnKZgKJjpPOJ53/tSxwPkG0t64aI8fS7zu3O1Y5NyYEiFb + +5xPOyIE62yIRw86sOrrzsX+Xue8PFk+uTdC7e1zlqB8RlDlLCp2OwsdF505vohAMJ3l + mOzMyPuVMw0rYjEXNuoJ6px2x0bnKBSlOKp8o5COkT1kG2SQbX2eic6jyOJwD07wF/dG + yPcO1qTneSJkebCoJr3XX+Pz+Cc7Pf5qnw/5GWf4Vfy9/Fg+nw/w6byXd/M23iDoBa2g + EdSCUhAEPkJe7St3csfIXihHWPYeFDiBjZDXMJM5RvbJmfsOC4xACSAYIrE/oPESMETI + 3kNaiUPmdU7muAjZdzCetS/oZCSOkQVaSuIxwBAoIlAwEcLkyQgHq5O7ys3l+jG6kurK + rwuaZMlQGPj6y0wc4d5J9Q3hPY5QOF9iYo7QUHHzEPO1ceeDKGqtCAQm1S072NXRPr+q + VaxqEqtakZrCa7vazOHuFpfrQHuHJHCFaW9Ty9w2KW5uDXeIrZXhdrHSdaBLrnebeL4k + 7hIrD8D8qukNB+YHWyv7uoJdVWJzZehgS8XSxlv6WjPc19KKf9FXhdTYUqmvFrnebX01 + SuIWqa9Gqa9Gqa+WYIvclzT4qoX1FQ90onW6qhZOcoXT68MTps1sCLuaQ5URsgMzKx8E + 9gRo2eOQznaDlckBJ0DsQ6QLUhy9O/Zn9jRoo4tjf6VLcVKPSERFy8vgBDwJ22A/cLAL + +XSYDVvgbdKOa3sWHILzJAWy0fcyEIHJ8EsSi70L8+FHWL4TTsImOABqrLMYjChdTzyx + 5ZgOIt8Cq2IvQRoUw2NwHEqw1fXQH9sdO4jSOrgb9sBerP8LIlIHmKTYa7GLIMA0bHMV + St6NTY7tBz1kQgXUYu4qeIN46AuxNjBDKWr3HLwA2+Fn8Bl5lByKtcW6YudiH6OpmsEO + 9XivIIfIx/R+5rHYc7FPY1FEIh0ysNcm2AgvY/v78T6BrrWK3Ec6yUayiQpSj1KHmNWs + KTqAOPhhPN416JWfQASOwCn4G/yDXKHMtJbupN+MFcb+H1QwCUcpjaQVuvB+HO/1OKZj + hCO5ZBypJSvIM2QT+Q2VQd1NNVDfpR6i/kxPpWfRy+jfMA8wfew6dgunil6LHYudjr0H + JnDAvbAUVuLoTsI5uApfEhrbshMPKSUVZDbe3WQbdYRsJ0eoWnKCnKP2kN+TP5Er5DrF + UmrKSAWoTmojtZc6Sb1DL6Q30c/Sv6evMWNYit3OXuI8/H9FW6Jrou/ESmMfx75AFyuA + G2emAqbCHGjG0XbACPg+jmIf3vtx1k7Bm/C2fP+J2KEfvkAUgOiJleSTKXhPJXeR+WQh + eZ4cxfsNWZfPKZwISkHpKBNlp+qpFmox1U29R3XTNjqDnkjPpPfjfYY+T1+nrzMsk8QY + mfHMBFjHLGa24r2D2cX0MWfZEnYMO5WdwXaza9h19Fz2XfY8t5Jbz/VxV7j/Q7c4mV/C + r8PZeRtt9mdoy19dDElD7fPhOzCXVJIW6MXZ2E6aoQetax55AvHqgPRYI72SHk/lojW8 + Ad9Da90KK2ANPQu2xz6g98D7aCmLsMlu2MlUgIPdjLPzKOSiFQ3eQX+GP93n9aSJqW4X + uny7zWoxm5KNhiS9TpugVikVAs+xDE0RyKwSq5tcYW9TmPGKNTVZUlpsxozmmzKacCm7 + wtW3lgm7pHrNKLqlZBBLzr+tZDBeMjhckmhdZVCWlemqEl3hX1WKrgiZOa0B+ScrxZAr + 3C/zU2T+KZlPQN7txgquKnNbpStMmlxV4equtp6qpsqsTHIkiHAoszIlxxEEldRwGMY1 + r0AHC+OkElVhq1hZFbaIyKOM9lQ1zwvXTmuoqrS53SHMw6y6BuwjK3NhGPWEtep54ry1 + kSC0NElc86yGMN0cClNNUlu6QNgkVoZNyy+Zv0oOcVXrbhKGKU91c2tPdTjYtBbBlZJN + Uqp5HaYm1buwWWp1qCFMVg8qIenYjppK6sb3BE9TuyusECvEtp72JgQX6hr6rEGr7HzD + UNvQZwla5ERW5hHzylI3jv5I1tissVJc6javjMef/CCe/+sTUmxeeeoPGE+qGwaASAiI + E1DPsGuu3ImIyhZLQWsx9MwtRpzwChEc5kLUZ1yYQpuhPWHWM6E53F0/pEZbZVy5pvbK + PoXFKm9CFSEs39SjHYUzheW1oqvnGu7WTWL/Z7fmNA/mcB7tNZCE0kQP20qYNA/xXdJm + 6cFRt5nFNml+u+Q5xbRorropA9MSNJLOYQNu4LUN7rArhBl4msycFAFFbcMBQtaHIiS2 + OgKVjiN4RqXnzEZxpmRqCyuxf0xkZWJGhhu57ExXNfZcLdmKq8fVM2Fej6va1YbGxHjk + GAWtPaEcRLC+AXGC6dhjMGQbZltDoVHYTo7UDlbB4j0hbKF9sAWM5aycASyUm4mbKe2t + bZjWEO6utIWDlSGcBTTfE7UN4RNouaEQlsob1hQ1XrHQPKhzPuqcl4HygngreHbpxiZC + PT1Sm/UNojt8oqfH1iOtt3g6QuD2jOBgRgSkIhLkEdJdi3UxEt02eQ7cohvVCkmYjkCT + HrIoPLN/M8JFw3pjzZGobZGMcPG3hHDJnSA86o4QLh3W9BaEy1DnUgnh0f8+hMfcgnD5 + NyMcHNYblRyL2gZlhCu+JYTH3QnClXeEcNWwprcgXI06V0kIj//3IVxzC8ITvhnhicN6 + o5KTUNuJMsKTvyWEp9wJwlPvCOG7hjW9BeFa1PkuCeFp/z6E625BuP6bEZ4+rDcqeTdq + O11GeMa3hPA9d4Jwwx0hHBrW9BaEZ6LOIQnhe4cRDtrCcLMf7r7N7cK37phn3QQ5npRY + PVRQJRifhplIr7AzoIl5AOqQipFqkEZze2AVxhKVYlkTVht636PGpxDpfZMLny/w8ftb + uCg873/ThU/+X3uxsoTD91OAzwxfXQpQ4nPQ0KUeZEbgU8Qp4iV/o35Cp9N/Y7ayyexS + 9lNuFD4YVAAw5/AZlca2yuPvn4QcPCQgCdoIwDkkKY08/VEEGCRAnv8Ijsq9zwgcxVZY + mBHIzSvQuXU+pApmfeTGH9njX46LMFOu47sMLDEzdoG9n72Ep/YUOBAstbGbSS9LO4mT + eZQ8zq5JYusF+jGHTmfkRjlo9SijIoVKSbHQeVSpNk9ndSnyLBana7u7fT6+gZh6dUr/ + VO3nU/qv9utLcqC8vL9cYrT9ebnjlgVHgd3kSfJqPDavKlmRDwkGbT7R6xK1vB1TLND5 + hFAMrTSr8yFRj4Fg5fIJQzCQnuuJtkxbFgjEQynjkUbSKBCTmE3EVNBp9QX5I4tGFnA8 + 53b5vDrtyCK3yKSQEbqT7jf7Poxe++uVjx4YnXLS+vT+6PsxeO3Sq0fJ+HT2UvTCsfU7 + omejb0aj0Z/uDm24/MPj235FXiVV5/4o4/MKWuZcnIcEfGZeEHQ+ruvVU/mCKiWRghST + IOQlWa0JHo3FYj3v7loTx2BAxgDKB8oH5IF7SbLOY/RyPMszPM1TPMsptQKONhkDhV6V + T3gDPoXhEAOBDGlcHmkkeBdqKdGto90uU7LOwFN+Qp1rHds5sdSa+OFfoy+coepJzs5N + Dduijw3s32P0LQmtrR9PdCT7+hY26f2T0Xc/PR7tk8fQFHuP+RznOAefy6LB2f5En+j1 + FmkK3eO9Ld7lmu+mKe4TzBqThwpp2jR7UmmlZlRqWqqSZuzmxww5OQH7KAPNjAoociml + RtClpTrTc3N1Zo9pguBJt+Y7PboJ4Mmx5OW/6G4fhAAt4CtD0OtKSiS6ySB0elNJ9kBB + 4/0yQFPSs3VOECgv5c3ycB6rl86EAGRlyxGbIQSII8kZAJvRHCAWM8liAqDwqQLEoyLZ + yPN+DFL0dhQmY4BAoploZYuRWbQa6XrkkUegkSSbkiVDKRzh8+YQr89bOCKtIJ8xisiK + qZzRYEp2SmWMBkZEMxpJSAo/Yu6XHbP6Jk1+6fTPp60j+uufkHHHEvPuvRDeOrP03Dub + pq2L/vB/o3/Zto2mppALK6Y+7Rrz4kMF+Z6szMJZh9+K/v5aV/kDz7Qsynfl5qSWLjh1 + 9dfr1v6FQWdAQ13sI/mJPhHf1ZTB74LFGblEqVXZ1HZfQY12oaJdy5cIerWCtuXzaQqH + Vu0oDVDZ/tLDpVRpfoZHr+VZwe5LNdkjpCcomhxO3ufIVlGOQlUZX1ZmN/D+jF1p1jE2 + v31ioq/YMnrMT8hmfJFxhPTC4GqNT9PFgVPD67W8H5esND2NOn1Jdn92P8FYZyqRJyq9 + aKQxFYjFQ4oS3WBOsbkh2WVwE3cqjKTcYHWY3MToxkCaA1ywX8HemCbDPppoSCLBNWok + kn2PQMx5jhfHkIJ8xFxnwELYhQZXtM/rkyKcn6KRSUSzdOqcUK+7LX9xS149OTTGqP7B + 8idL3cpd7N9fPt71oMmjTtFlZHobM5IVI995eNPxo5t7zs7MnLBjg9HOaRLsOQvIIiHT + nDWrfnJG/Vvbamq2DGy2p9L0ajVXIQZr2n/8xKYfJZGLkj8sjl2g+9mT6K0d8N1g/kjN + eM09mp3MbhvrEQxUokMLgsPBJykph0nFZidla/06vdWp8lktKc7H3UsrvnKDZVMGLl4E + 9IH405Xo4ghazXaFEggxq7ygsGMAFsoLSpvgxcWPP9lI9RIMMjicEUzJJl2BTiyU0IDC + EfqCz5/evmL7juVP7CY99bmj971U/uqSg9Evr/w3mXP5/bd/8fNz/0mNHJEyiXJ8OWbT + 3AaS9eWn5B60tZrYBcaKb4/s+KbRQ9TBZZuFZ607nTSroRJZg1GjTzQaguqgQfBbySTV + 6/Rp8hZ92vaB8KHivPMD8bLpsqg6rTutp2YJrDstcWuyI62E4/lkt8POKx3JKg+/2b7T + ftj+vp3xJCd67KxFqeZ1Gl+iw8dafWnZvM9i8fp+697ROOgjL8r+4bcDJfoSNDd0ECU5 + jcMOomygTNuPubLRVYPIsDS+miMswznRs+u1SVqDluHUnlRbmhd3foeXpDgUJt4LKqPG + SxI0otWNWSwGglnphQQtBrJbkG1StsuMQMYj5P5GuL+xEXC9o/W5U9AKpS1EQ9AmOXlT + gQLJR6Bj4Al16HxxkV574wr71OYnp+caDvB35dUtG1t3JvopMf+ROFXpE/c9vIslIjP+ + vrunLZr40stvNhaNL92QXWvXEhHfT1KkIup9sPrRgz1E+gCGczI6WkpfxjlxQha+OT4c + nFJkmCBMUDQIIcUT6t22XY7dvh2BIzZVUKCTU/2aU8pUXOIM53dYlHqHMjGbz85m7XR2 + cnaWn7XmqjW+hDFen92Sk3uTIV7tL5GQHrh4DfEc3JPRImV44/hmiunWFJUuzaP1iile + L6RbMdCpNG5I1KgTPI5UL/HZ/F5IVOvdMoqDO/GQS5UstLAANyjOner1FQw6WHn1pum0 + UADyIjdKaxuXPKEenl1QuKOsI/r2vs80hxN8o39wNuili7aseC16nfBHSeWPvv9GtWfj + wyfvyoy+y1SMEcc9fiP/l10Xtr1S4yt7esbv6mr/ThwkgWRHt5/om7P1x8f3z11FZSGe + BN9+A+dhxoMXVgdLeYHXcIkmwaQxJfoEH5pijWWGaoFKLXqUVodoUVKMyeN2mBwJHA+c + ze6hk5TpJpNV58ePP6TP6pe+eQVxrWZ7cPAWX3qEJBx0t8QXuLS2tVf7rw5MrWqt/DOU + m8rKy8um9KPNmkokhymZLjQmFRjRy0muzTTk4USd5PM4ow6Z+I6jE1f1BUeE7u+emplW + 9lLrB1Mzjt03pf3Zw1Z/x/ydh5icLXeljS5Pq55R/9z09QMjqcv31a7fMbCBOrY4f9Lz + Zwfw0ywljZvuRzuygBVmB/MOc6c5iuEMnM/QxXXyrEFNGcxaB4vDNKuUVt5qBbVfYbWT + bLPfAhYbbh/cLSOTl2bcWnBc/bh1k/iAiDSkm4YijQDXiobgeMiqvZP3tF2szTzsyF0Z + 9E8szrIdIjtR/9l1L9zz0sA06uWWsnkJyRWF9y8cOIvKov2Xxj5k3MxU/A5iRt2fChZs + EXq1zya/wuwSdmh3J0eEM8L7zCXN/xjUowTOYebVDr3KwlssRsqXaLUpfEaL1RYhioPu + pYNeJX7oGLZz2X1kgonxqpIU6AF0lJfwJuTYBOSUBrUXiBYDIZnzElqDgbRhyUEAzwpp + eumUIJ8Kkgv0eMSkcJ8rRIvmqT+szp189JXe3pfxo8+N6N9/F71B9J9wnSRxR+/sZ270 + 7b1IX4h+Fr0aHYi+RgI3cNMLsmifptgVSsHOxNHW/TghW3lCQyKkPOhhkktMNKdR6qxo + fvglww9GjTGRdtIUfSMZT5Y33AtWDHrNxpJTOZJzjJtdjmR0A2X92oGLeblJBboCo6jD + A8zwHuotxH2jYNfre/d6jXkJKQbnON/KmRs2sDOj720cqCpOUhFqvUJ4ZAH15kb5nCh5 + JYi14neaf3UlYCaNa8sHRVApf/WZKH9zqoN7UELwK1T8+YvD7/IweVrtpIaKQE3roq7W + zoVzm+USQ61K3/drkPC7PrQhPYT0BNIWpF1I+CgDZ5A+QLqM9EVs8EIehnmCnv/W9Ljb + 0pW3petvS0ta3dzevNvSMg439bfgNrn8f4mb5N+5Tb7ktrT8/4Z/AizhyUwKZW5kc3Ry + ZWFtCmVuZG9iagoyNyAwIG9iago1NDU4CmVuZG9iagoyOCAwIG9iago8PCAvVHlwZSAv + Rm9udERlc2NyaXB0b3IgL0FzY2VudCA3NzAgL0NhcEhlaWdodCA3MTcgL0Rlc2NlbnQg + LTIzMCAvRmxhZ3MgMzIKL0ZvbnRCQm94IFstOTUxIC00ODEgMTQ0NSAxMTIyXSAvRm9u + dE5hbWUgL0xRUEtYQitIZWx2ZXRpY2EgL0l0YWxpY0FuZ2xlIDAKL1N0ZW1WIDAgL01h + eFdpZHRoIDE1MDAgL1hIZWlnaHQgNjM3IC9Gb250RmlsZTIgMjYgMCBSID4+CmVuZG9i + agoyOSAwIG9iagpbIDI3OCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAg + MCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwCjcyMiA3MjIgMCAwIDAgMCAw + IDAgMCAwIDAgMCAwIDAgMCAwIDY2NyAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDU1 + NiAwIDAKNTU2IDU1NiAwIDU1NiAwIDAgMCAwIDAgODMzIDU1NiA1NTYgMCAwIDAgMCAy + NzggXQplbmRvYmoKMTIgMCBvYmoKPDwgL1R5cGUgL0ZvbnQgL1N1YnR5cGUgL1RydWVU + eXBlIC9CYXNlRm9udCAvTFFQS1hCK0hlbHZldGljYSAvRm9udERlc2NyaXB0b3IKMjgg + MCBSIC9XaWR0aHMgMjkgMCBSIC9GaXJzdENoYXIgMzIgL0xhc3RDaGFyIDExNiAvRW5j + b2RpbmcgL01hY1JvbWFuRW5jb2RpbmcKPj4KZW5kb2JqCjMwIDAgb2JqCihNYWMgT1Mg + WCAxMC42LjggUXVhcnR6IFBERkNvbnRleHQpCmVuZG9iagozMSAwIG9iagooRDoyMDEx + MDkyNDAwMTMyOFowMCcwMCcpCmVuZG9iagoxIDAgb2JqCjw8IC9Qcm9kdWNlciAzMCAw + IFIgL0NyZWF0aW9uRGF0ZSAzMSAwIFIgL01vZERhdGUgMzEgMCBSID4+CmVuZG9iagp4 + cmVmCjAgMzIKMDAwMDAwMDAwMCA2NTUzNSBmIAowMDAwMDIwMjczIDAwMDAwIG4gCjAw + MDAwMTM3NDAgMDAwMDAgbiAKMDAwMDAwMTEyMiAwMDAwMCBuIAowMDAwMDEzNTc3IDAw + MDAwIG4gCjAwMDAwMDAwMjIgMDAwMDAgbiAKMDAwMDAwMTEwMiAwMDAwMCBuIAowMDAw + MDAxMjI2IDAwMDAwIG4gCjAwMDAwMTM1NDEgMDAwMDAgbiAKMDAwMDAwMTM4NyAwMDAw + MCBuIAowMDAwMDAyMTY5IDAwMDAwIG4gCjAwMDAwMDY1MTggMDAwMDAgbiAKMDAwMDAy + MDAwNCAwMDAwMCBuIAowMDAwMDEyNjQ0IDAwMDAwIG4gCjAwMDAwMDIxODkgMDAwMDAg + biAKMDAwMDAwNTY2OSAwMDAwMCBuIAowMDAwMDA1NjkwIDAwMDAwIG4gCjAwMDAwMDY0 + OTggMDAwMDAgbiAKMDAwMDAwNjU1NSAwMDAwMCBuIAowMDAwMDEyNjIzIDAwMDAwIG4g + CjAwMDAwMTI2ODEgMDAwMDAgbiAKMDAwMDAxMzUyMSAwMDAwMCBuIAowMDAwMDEzNjYw + IDAwMDAwIG4gCjAwMDAwMTM5MDMgMDAwMDAgbiAKMDAwMDAxMzc4OCAwMDAwMCBuIAow + MDAwMDEzODgxIDAwMDAwIG4gCjAwMDAwMTM5OTYgMDAwMDAgbiAKMDAwMDAxOTU0NCAw + MDAwMCBuIAowMDAwMDE5NTY1IDAwMDAwIG4gCjAwMDAwMTk3OTAgMDAwMDAgbiAKMDAw + MDAyMDE3OSAwMDAwMCBuIAowMDAwMDIwMjMxIDAwMDAwIG4gCnRyYWlsZXIKPDwgL1Np + emUgMzIgL1Jvb3QgMjIgMCBSIC9JbmZvIDEgMCBSIC9JRCBbIDwzYzU3N2Q4M2E5Zjcw + ZGYxMTA4YmFmNTZiM2YzZmM5YT4KPDNjNTc3ZDgzYTlmNzBkZjExMDhiYWY1NmIzZjNm + YzlhPiBdID4+CnN0YXJ0eHJlZgoyMDM0OAolJUVPRgoxIDAgb2JqCjw8L0F1dGhvciAo + U2hpbmppIEtPTk8pL0NyZWF0aW9uRGF0ZSAoRDoyMDExMDkyNDAwMTAwMFopL0NyZWF0 + b3IgKE9tbmlHcmFmZmxlIFByb2Zlc3Npb25hbCA1LjMuMikvTW9kRGF0ZSAoRDoyMDEx + MDkyNDAwMTMwMFopL1Byb2R1Y2VyIDMwIDAgUiAvVGl0bGUgKGNiYy5ncmFmZmxlKT4+ + CmVuZG9iagp4cmVmCjEgMQowMDAwMDIxMTQ2IDAwMDAwIG4gCnRyYWlsZXIKPDwvSUQg + WzwzYzU3N2Q4M2E5ZjcwZGYxMTA4YmFmNTZiM2YzZmM5YT4gPDNjNTc3ZDgzYTlmNzBk + ZjExMDhiYWY1NmIzZjNmYzlhPl0gL0luZm8gMSAwIFIgL1ByZXYgMjAzNDggL1Jvb3Qg + MjIgMCBSIC9TaXplIDMyPj4Kc3RhcnR4cmVmCjIxMzI2CiUlRU9GCg== + + QuickLookThumbnail + + TU0AKgAABhqAP+BP9zwUAOOEAACwsAQMAQ+IRGJROKRWLReMRQAxsAP2PAAJSEACKSRm + GwIAM6VAACS2Ox+TTGZTOaACNgEAPidAATz2TwJsUEABiiAAIUea0mlUuIPanABt1EAC + 6qTaORV91kAMyuAAc1+mWGxTN02UAO60T9/tK2AAPW+jUiMwVzgAKXeFQydPgAAi/AB1 + 4EAAvCAAFYeaPzFSyXRmnPYANTJAAa5WrTiK3sAMnOAAf5+TP7RABv6UACPUADFPzGAQ + AVl9gB1bMABvbTSHaJ/AAB72TOjgAC6Wq2NK3XCjhCTM/mAB588APTpAB69UABzsXkCg + Bwd0AA3wAB3+MAAfzADdAAHevxeQre+TY8AL76SOSzeJMf9ABo/0ACdAAACHAb4qeYED + tUxaHNWAAZwcABtQi6Lps02CQJEvwEAAeUOAAFcPgAFERN+4LhoGta2reDy4uUjJyxev + q/n1GbeN8AUbvQ0cGRmfTAMEFMgPad8LgkAD5ArJECsgyRqMoyz8IieMpAAe8qgAoJsA + AIEttC0ZyS+AADTEn4AHzMwAA1NMfHWiRszcAAYziy8yzOw4FTmBk8xIdDhINE7iuPFb + krHQlCqap8mScGs5synZkUfLUuUNSdCuBPkTIGadNSMp6Fu2h1KVCjD8TEAznOgHFU0Y + ikzHy+b6g7WNTnnKkrAfW9RVyiL8RuAUagGtUOHkrauu6cEyV1ZKIPxFQABhZ67LwjMe + ABNxss2zrZnUAE8gYwbC2VUTe2AD9ygAGV0LU9NWwS1lQXDXT8Ja11S1+iT5HhfNZxjD + U7PK894XheSXPMA61YDhDEsXfJ4ABhgAV7FmJYjhOKpNE+LYTKp7gBL5yX/gzNPWB2JQ + zjOTqVE7NRecuUVCVWYY7MAzZoAAQ5u9T2JnS1a449OXVyhwQaGtRu6NiWC6BXKPH6AG + WAAFWopNpiUpXIAU6VZTn1ozUT0S7AOYlrNKPkauzAAGm01Wid2M4ZIAB9uOx11niynS + 4kUuQuSMUeZAAAjwDXq1rbatvYzvvCwgFrOtL8UG9J2ciAAe8pJQAGVzEPRBKCIWsABw + 9AAAM9GAAg9MkzNFx1QAavfdu5zkmzGrwoN04yF2JDItx4dfSiAxQM9z6us/7zQW9ou/ + popfprNapkfEAaqCpXqwM2TiGIAG57WbZxYQABt8HLcwZWoalziHywABxfWoaiwGIaTW + p8fl3tKR4gAHX8yuoT5e0bi510kRao4oAAE4DJoTURlnimCBKAWaoNuahj5KJMqot85E + jNN9UiECCKooFp+IGoA2ztV6wdUI1Qa8KQAKpBw2uDBOz9DHbQ2qEyk3vPVLU3YAAwoe + PCWRDUmR+HRgZhmDR7gISTIMOYM9qozmnIwIjAYCcQCMsRiHBtdRozpD0AAtRd8VCMn4 + U8txPTuyKsbd4w1yI7E5wEdfGAk0YiGOvYxHBgLVGHsPIi4ACIAFbgPXtHZUMdZBKGi3 + Gk6h1nnqDATI2QrFZCSPJoK2SgAIUjXAAFyTTEo/wukkxZE45pRGyNpI0BMnyKKAdyAA + C8rV+AABJLFi5KCVROPA9GL8qCLyHXKB8tT6XfNil0Rg+RURtlTKrBciKFiuDMK8WCYZ + ModHjSG8Q40D3jkWOGXcCh2ick7ZNDiAi/iZoMXm5aCiTyrkQXY4R2RnjQEZPSaUb5pz + UzmJchZbTtDcEoPTGYjEH3hwheLMIi8S19yHOqPU652YxuHlukJkCOTdvPmoAA94VnLH + 0F8fYEULkDjAQghIJlJUBIEMcgZBCDEFmLQcDOkY2kJxcQqVqVbJnvIfBWiFEcCkSwgg + bQWCBGGnsmWo7tiJ6Udo0hw62i8q0jpJpSksycFYXIWoWAAaFW4sTyS8mBepDl2JpA0m + tNqb3rpzXYv4/Eb6A0/oHUGa7ekWzRItBOqs6jMEUM026eAP67ExoEWpCNMpjJ0VcQ6Z + UYLFEcdfNxOCcrFkPWoMGyz9D5WNr3IIhzEV/SxBIWpajPHvM/rsxGiMrQLyvIyQ5wkO + Ks2BIixF59qmDkQIdLm2SyyOWTn6P+H9u1d29I4QEAAADgEAAAMAAAABADgAAAEBAAMA + AAABACAAAAECAAMAAAAEAAAGyAEDAAMAAAABAAUAAAEGAAMAAAABAAIAAAERAAQAAAAB + AAAACAESAAMAAAABAAEAAAEVAAMAAAABAAQAAAEWAAMAAAABACAAAAEXAAQAAAABAAAG + EQEcAAMAAAABAAEAAAE9AAMAAAABAAIAAAFSAAMAAAABAAEAAAFTAAMAAAAEAAAG0AAA + AAAACAAIAAgACAABAAEAAQAB + + ReadOnly + NO + RowAlign + 1 + RowSpacing + 36 + SheetTitle + Canvas 1 + SmartAlignmentGuidesActive + YES + SmartDistanceGuidesActive + YES + UniqueID + 1 + UseEntirePage + + VPages + 1 + WindowInfo + + CurrentSheet + 0 + ExpandedCanvases + + + name + Canvas 1 + + + Frame + {{655, 201}, {693, 937}} + ListView + + OutlineWidth + 142 + RightSidebar + + ShowRuler + + Sidebar + + SidebarWidth + 120 + VisibleRegion + {{0, 0}, {558, 783}} + Zoom + 1 + ZoomValues + + + Canvas 1 + 1 + 1 + + + + saveQuickLookFiles + YES + + diff -r 21684fe357d9 -r 4b0a368cc858 presentation/fig/datasegment.jpg Binary file presentation/fig/datasegment.jpg has changed diff -r 21684fe357d9 -r 4b0a368cc858 presentation/fig/pipeline.graffle --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/presentation/fig/pipeline.graffle Mon Sep 26 10:38:35 2011 +0900 @@ -0,0 +1,991 @@ + + + + + ActiveLayerIndex + 0 + ApplicationVersion + + com.omnigroup.OmniGrafflePro + 138.28.0.154505 + + AutoAdjust + + BackgroundGraphic + + Bounds + {{0, 0}, {559, 783}} + Class + SolidGraphic + ID + 2 + Style + + shadow + + Draws + NO + + stroke + + Draws + NO + + + + CanvasOrigin + {0, 0} + ColumnAlign + 1 + ColumnSpacing + 36 + CreationDate + 2011-09-24 09:10:15 +0900 + Creator + Shinji KONO + DisplayScale + 1.000 cm = 1.000 cm + GraphDocumentVersion + 6 + GraphicsList + + + Bounds + {{361.001, 138}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 37 + Shape + Rectangle + Style + + fill + + Color + + b + 0.600814 + g + 1 + r + 0.833001 + + + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Data Segment 2 store} + VerticalPad + 0 + + + + Bounds + {{361, 37.8889}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 36 + Shape + Rectangle + Style + + fill + + Color + + b + 0.704983 + g + 0.781895 + r + 1 + + + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Data Segment 4 load} + VerticalPad + 0 + + + + Bounds + {{361.001, 87.9444}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 35 + Shape + Rectangle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Code Segment 3} + VerticalPad + 0 + + + + Bounds + {{201.001, 138}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 34 + Shape + Rectangle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Data Segment 1 store} + VerticalPad + 0 + + + + Bounds + {{201, 37.8889}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 33 + Shape + Rectangle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Data Segment 3 load} + VerticalPad + 0 + + + + Bounds + {{201.001, 87.9444}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 32 + Shape + Rectangle + Style + + fill + + Color + + b + 0.600814 + g + 1 + r + 0.833001 + + + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Code Segment 2} + VerticalPad + 0 + + + + Bounds + {{41.0005, 138}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 29 + Shape + Rectangle + Style + + fill + + Color + + b + 0.704983 + g + 0.781895 + r + 1 + + + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Data Segment 0 store} + VerticalPad + 0 + + + + Bounds + {{41, 37.8889}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 31 + Shape + Rectangle + Style + + fill + + Color + + b + 0.600814 + g + 1 + r + 0.833001 + + + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Data Segment 2 load} + VerticalPad + 0 + + + + Bounds + {{41.0005, 87.9444}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 30 + Shape + Rectangle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Code Segment 1} + VerticalPad + 0 + + + + GridInfo + + GuidesLocked + NO + GuidesVisible + YES + HPages + 1 + ImageCounter + 1 + KeepToScale + + Layers + + + Lock + NO + Name + Layer 1 + Print + YES + View + YES + + + LayoutInfo + + Animate + NO + circoMinDist + 18 + circoSeparation + 0.0 + layoutEngine + dot + neatoSeparation + 0.0 + twopiSeparation + 0.0 + + LinksVisible + NO + MagnetsVisible + NO + MasterSheets + + ModificationDate + 2011-09-24 09:17:25 +0900 + Modifier + Shinji KONO + NotesVisible + NO + Orientation + 2 + OriginVisible + NO + PageBreaks + YES + PrintInfo + + NSBottomMargin + + float + 41 + + NSLeftMargin + + float + 18 + + NSPaperSize + + coded + BAtzdHJlYW10eXBlZIHoA4QBQISEhAdOU1ZhbHVlAISECE5TT2JqZWN0AIWEASqEhAx7X05TU2l6ZT1mZn2WgVMCgUoDhg== + + NSRightMargin + + float + 18 + + NSTopMargin + + float + 18 + + + PrintOnePage + + QuickLookPreview + + JVBERi0xLjMKJcTl8uXrp/Og0MTGCjUgMCBvYmoKPDwgL0xlbmd0aCA2IDAgUiAvRmls + dGVyIC9GbGF0ZURlY29kZSA+PgpzdHJlYW0KeAG9lk2P2jAQhu/+FXMMB4w/Yse5lm2l + 7qlbkHqoekA0VFSwdAPt7+84yXhD4oSgrgpCBGLPxM+889ov8AQvIPBtTA6Z01AW8AWe + YbE8S9ieQVbv8xbmghvwn9bAHSw+FeW2+HX5vTmwco+hpLVVOKtACy6ESC3YFK+MMbA9 + wuLjUcLDqUp7NRZsLrjEF45ig6OaiCYXI8EkRsLMhhJbNpwYx7YzDz1fCImZR6Jp4zPL + kHjkIXFoSDwhYm/Fi8/FYXPZ/ymWp8Op3B+LS7nfQrlnqSTsxvA8TQ3IVPPMIQ+de8LC + +SLvsLCP+PlZl3q5qsomYLX0Vax+zP0XasI/XojqMh8UqxqNusLConSUl44AlE0rlpSK + u1xkYDPDjQ/6bg1SNakUzFPDhco1pLBGoXzAdeCDrHfwFZLlzAtQQXKii+90UcxYfQtm + qE8csiro1g+6OIa/numvSzOaZskZfIP1I3u/rpdQqV9wpzUWNPVEuBXCSeSJy0olZIIY + yA7Y1ppb/EBn3DmXq4bc9awJ4AxkCsHhK8LOWK4zgTQj8B5ozRu6oMVv3oadquOyhGAe + KFEoV0iNdfOc4Yqzp5s56XJvMZlIc6dyVmEmLQtTwYuy27VVVhH3fRcUK7XrTWPYAbeR + YyMjcVR7ZV0dtVpsda0UypX15DpGvBEyoaJvUi1L7lGtINAU5kylPVFpS9R+n/igspWo + /APN89o+XuXq2cWRh7mNSfSwe+NpYWddi1CZtwjE7h3CG+lkk2C3TKKDnXBDHzdLBk0C + hd5HKdEcpDcFXH7UFUaB3WcLrOUtNSy0dbKFCK1hW2DJHSIdoQVIqzFgkh5pUXfFedsW + ru23TbbZ0e/zAW+UQZQxH+gIsrdnBUE2PhBzXse1Nd4H+tvWGOK32rX85vfqvK3+bxQf + 7/+AVlvf79hy97c7TZ3Y7SC8pbcEnOqq29FqRtrdcptblXfp3tvvpMm4kptaDPY9KjnW + 9/1NC22AIZaoDdSnrtb6w1blZwyeDm5tVTXFqTbwT3tVDx5LJpys0ik20FQgejoY3KtI + gHbIFYadl6ZONYW28b7qdvh0YAZd4T8Yb3Meg3Aeu+UKT38BXwbcLQplbmRzdHJlYW0K + ZW5kb2JqCjYgMCBvYmoKODI5CmVuZG9iagozIDAgb2JqCjw8IC9UeXBlIC9QYWdlIC9Q + YXJlbnQgNCAwIFIgL1Jlc291cmNlcyA3IDAgUiAvQ29udGVudHMgNSAwIFIgL01lZGlh + Qm94IFswIDAgNTU5IDc4M10KPj4KZW5kb2JqCjcgMCBvYmoKPDwgL1Byb2NTZXQgWyAv + UERGIC9UZXh0IC9JbWFnZUIgL0ltYWdlQyAvSW1hZ2VJIF0gL0NvbG9yU3BhY2UgPDwg + L0NzMSA4IDAgUgovQ3MyIDExIDAgUiA+PiAvRm9udCA8PCAvRjEuMCAxMiAwIFIgPj4g + L1hPYmplY3QgPDwgL0ltMSA5IDAgUiA+PiA+PgplbmRvYmoKOSAwIG9iago8PCAvTGVu + Z3RoIDEwIDAgUiAvVHlwZSAvWE9iamVjdCAvU3VidHlwZSAvSW1hZ2UgL1dpZHRoIDMz + MiAvSGVpZ2h0IDEyNCAvSW50ZXJwb2xhdGUKdHJ1ZSAvQ29sb3JTcGFjZSAxMyAwIFIg + L0ludGVudCAvUGVyY2VwdHVhbCAvU01hc2sgMTQgMCBSIC9CaXRzUGVyQ29tcG9uZW50 + CjggL0ZpbHRlciAvRmxhdGVEZWNvZGUgPj4Kc3RyZWFtCngB7dABDQAAAMKg909tDjeI + QGHAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAED + BgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDA + gAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwY + MGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAED + BgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDA + gAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwY + MGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAED + BgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDA + gAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwY + MGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAED + BgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBv4GBuJ/AAEKZW5kc3RyZWFtCmVuZG9i + agoxMCAwIG9iago1NjIKZW5kb2JqCjE0IDAgb2JqCjw8IC9MZW5ndGggMTUgMCBSIC9U + eXBlIC9YT2JqZWN0IC9TdWJ0eXBlIC9JbWFnZSAvV2lkdGggMzMyIC9IZWlnaHQgMTI0 + IC9Db2xvclNwYWNlCi9EZXZpY2VHcmF5IC9JbnRlcnBvbGF0ZSB0cnVlIC9CaXRzUGVy + Q29tcG9uZW50IDggL0ZpbHRlciAvRmxhdGVEZWNvZGUgPj4Kc3RyZWFtCngB7d35N1tb + GwdwrSEyD0KIhEgEIUSImRqiqdSQltKgxqBNzSo1K0WVGmqqqZSWaqlWq9Xbe9v1/mvv + sxO3k+Tce354f3mznx+6rLW3ruXj2eecWOs8XxcXXFgAC2ABLIAFsMD/n8A5XPYEyP2i + 4X84/6NccZ0K/DBBxv/C1OYI3+3m5o7rrICbm5urK1L9R06rpI3Rg0LxtBUVF5V6akGh + eHgAsM2TqDlPJd3dPYCRSqPR6XQGg8HEhQRAAjzoNMRKAU831J0OTzqidIWTDZDAyGCy + WGwOh4vruwCHzWaxmAzw/K7poDWtlNCTSJLJYnO5PC8+39vbx0eACwR8fLz5fL4Xl8th + sRh0qyZqTfudiboSNSWS5ICjj8DXTyj0F4nEuMRikcjfXyj0FQi8wZNj1fSwnnN7mFZK + uFDSGSAJkKAoDpRIgqQyXFYBaZAkMEAsEvoJvL24bCad5mnFtHfKz6FrJYUKTcn18vEV + gqNUJg8JDVMowsMjnL3Cw8MVirCQkGBZkCRAJBTweRyWDdPeKYe2BEpPOpPN5QuEYok0 + OEQRroxUqdRqdQyuGLU6WhWljABQWVCAyM/Hi2vDdLVzxYS2hNsOzUrpHyiVh0VEqtSx + cfEJSclQKc5diCApMSFeE6NWKRUhMolYaMWkerq72WnMc9CWnjQGi8v39Q+UhUZEqTUJ + SSlp6RmZWq0229kLDLIyMy6kJSfGxUZHKkKkCBOOuSfF/Wxj2tqSDpQCf0mwIlKtSUxJ + z8zW5ehz8/ILcOXn5V3WX7qozUhLTohVRVgxeWwmDTXm73dyZAknnAOUgcEKVWxCaob2 + 0uV8Q2FRcYkRl9F4vaS48KohT6/LupAcp1YCpp83XDKpHtCYv/2ZA464hyedxfMRBsoU + UZrk9Gx93tVrxvKKyupak6nO2ctkMtVUV5aXlhQacnVZaQmAGST25XNRY5455OfOw/MQ + tKWvWBoaGZucocu9UlxaUW1qMDc2Nbe0Onu1tDQ3mW/W11aVG4sK9FrADJdLhD48uGJ6 + nDnk6IjD1dJHKJFHqBPSdXmFxhs19eam1o5OS1c3ru4uy532lsabpqryYoNem6qJCpNB + Y3IYVIp9S7aXQCwNi9KkZgNlpcnc3G7p7hsYHBrGNTw02N9z905rY0PNjWJDTkaSOiIE + GpPLolHcf7/5nHf1oDI4fL/A4Ah1Yob+irGy7narpXtgaGRsfGIS18TD8dHhwb6ujuZb + teXX8i+mxUWFBYkEXnDI3V1/u5HDrYfKREc8JFKTos0vvmG63WbpGxodn5yefTyPa252 + Zmpi7P5g952Wm9WlhfqMRHU4OuRsZPnbjfy8G4XG4glE0jBVwoVLV0trbrVa+oYfTM7M + LS6trKw6e62sLC/Nz049HB3sudNUX1mcp02JVcoDhd4c+5Z0FlwuZeHqpMzL1yrqm4By + fOrx4vLa081nW85ezzY311eX5mcmRge72801pQZdWpwqVOLvw2VQPc72JdzG+X4BcmUs + HHFjtbm9+96Dqbml1Y2t5zu7L529dndebG+uryzMTIwMWJrrK+CQJ0QrpCIBl0mFh6Jf + H9bPwyMRm48ul3FpOkOZqcnSPzL5eGltc3t37/X+gbPX/v6rvZ2tpyvz0+PDPe23qotz + s5LUCplYwGPS7FpywDJUlZCeU1jR0No9ND6zuLr5/OX+weG7I2evd28PD17tbD9dnns0 + 2t/ZWGvM1ybHRgSLfXnwUGSnLxkcb2EQ3Hoy9EWV5o7ekcm55Q2gPDx6f4zrw9G7N692 + ttaWZsbvdTXXlRkupmqU8gA/eCiya8n19pcqopMyc4urb3f2j00vrG3tvj48Ov508tnZ + 6+Tk44d3B3svNpYfTw73tDaUX4WbjzIk0LGlj0iqUCdr80pqmyyD4zNLT5/vvXl3/Onz + F1x/nHx8/3Z/99nq/KPRvvabFYWXLsRHwicfvqO+9BHBIxFYXjc1dw1NzC5v7rw+fA+U + f3119vrrzy8nx0cHe9vri9Nj/R3mysKc9ISof2GZbzS1dA9Pzq08291/d3wClN+cvb5+ + /fOPT+8PXz1/ujTzYOCOubJI79AS/uTmyeCe9qUdy/84d337RsbS1R0+jsPHnoiYlOwC + Y10r9OX86tbLg6Pjz1++fnNuSfjpv33968vJh8PXLzaezI4Pdt6uugYP6/DBR2j9QP7r + s/o5bEnYL9iSkIfUIrYkxUW4GVsS8pBaxJakuAg3Y0tCHlKL2JIUF+FmbEnIQ2oRW5Li + ItyMLQl5SC1iS1JchJuxJSEPqUVsSYqLcDO2JOQhtYgtSXERbsaWhDykFrElKS7CzdiS + kIfUIrYkxUW4GVsS8pBaxJakuAg3Y0tCHlKL2JIUF+FmbEnIQ2oRW5LiItyMLQl5SC1i + S1JchJuxJSEPqUVsSYqLcDO2JOQhtYgtSXERbsaWhDykFrElKS7CzdiSkIfUIrYkxUW4 + GVsS8pBaxJakuAg3Y0tCHlKL2JIUF+FmbEnIQ2oRW5LiItyMLQl5SC1iS1JchJuxJSEP + qUVsSYqLcDMpS6J3nr/iF3Udv/PMdjBvw/H74/hd/H//Lr7LL31pmxHxeAXPiPh7NsaP + GRFLpzMiHM81+G750+yS2ScbMLvk6Pjkjy9/Ont9+fL50wc0u2RtYWq0r+NWRWHOP8wu + sc3UyYKZOo2dAw+mF9e3dvcP3x+f4Jk6Jyefjo/e7L3YXJmbvN/T9mOmjqM5MBxv/yCF + CqZfXqsyd/SNTs6vbD7f23979OH4o7PX8fH7o8PXO9vrS7PjQ10t9WUGHeGsJ/qvM8iG + YUDR2rMXe/uHb519Ahn8/O8O37ze3d5YmZ8aHbA0mYwF2SnWGWRe9meQ/ZiNd6W8rtky + MPpo7sn6s+cvX+0fvHH2Otjf39vZ3lhdmHk43Nthri7Jy0qOgWGiApjnZnc2HhtG3IYo + YVpwvrHG3NEzND49/2R9c/vF7ss9Z6+XL3eew5jBpdnJ0cG7LQ0VRTAGRm2b2WjH0g3m + X3r5ioNhcBbcfCobWix998en55ZW1jefbePa2txYW16YnRy719Nxu7bsCowgU4UGOZol + ap1xK5YpotHQxrJac1vXwP3xqdn5peXVtXVnr7XVlSeLc9OTY0N9nc0NlSX52SkaNM7N + m8OwOy8YzV72DwpBI8ELSirrmtq7+ofHJqZmHs8vLDp7LczPzU5Pjo8O9lpazTVlhfpM + GAoeHOBnf/aybSa4MFCuVCdl6AuNVQ2N7ZbeweFRGAn+aMrZ69GjyYcPRob6uztbzaYb + xQW6tHiVQip2MBMczf1nQ4SCTKGKS7uYV1RaXX+79c7d3v57w/dHRp29RkZgWH1ft6W9 + 6Zap4voVfWZyjPJ0Vr2nnVn1KEOBB4EzIUoYVn8pv6i00nSzsbW98253T2+fs1dvL4Qo + dLQ1m+urb1y/mpuN2lIm9oNwD+rZuf8o24PG5PL9xDIIUUjJvJRXWFJeZWq4dbuppbWt + 3dmrra21BcI96qorSosNly+mJ6IIBX+BF4yqP5vtYcucYfMEQkhCUsWnZOguGwpLSm9U + VZvq6htwNdSbaiF0xlh8NV+vvZAUGxkKAT58CPCxmzmDIrqgMQUiiTxcpUlKy9Lp8wyF + 10qMpWXluMrLjNeLi64W5OZkZ6QmxESGyQIgcoYNMSlnIhRcUK4UBBqiuDMrZkx8clqG + VpdzOS/fYLiCy2AoyMuFkK6s9NREjVqJKAVeHCa05ZnIGRfAtGbHsXnefmKJXKFUxcYn + oui4LG22DpdOdzFbm5VxITU5QaOOighFlOiEW9vyt5gUZIkyDSEekucNnSkLAU2INExI + hDzD1DRcaakpyUko1DA6KiJMLrVRMlB03JmILmSJsjYhtpQDmP4BoBkWroxSRatjYjW4 + NJrYWLVapYKwzVC5FOIhUVcCJUqOO9OWLi4omdjDEzCtwaWiAGsEbJgC8l+VuEAgAlJg + Q1EIbKBY6IuSNoGSYjdq87QxrZgQqOst8BOiaGKUTBwcLMclDw6WyaQSSYDYHyRP43Qp + 7tCW9voSxY+7uUPQM40O4eOnkdmQ9QwVgCsAGEQQmu2HQrN5XBYTJZA7orQ2JiQ9Qz4x + FWKz2RwU5X4a5O6LC4LHIc4d0ty9eJA+jgKzbYnZ5+1FZkOcDzQmys2G1qTS6Qwmiw2g + XC6Px/PCBQpgweWwWSwmg06zNiXcwh1RAiZootYETU8qjQ6gDCaThcsmwGSCIh0cqagn + 0cMQAaUN87yrldODAqBACkXDBQJIAolQKAD5j5Iotesc6k3ECe1pLQ9c3wVsIm5urqgl + HR/vn9LPbJxot+tpueH6mwKxQIGRnUf0nxB//hJttpbte/G/p4BWk5+h8NdYAAtgASyA + BbAAFsACWOB/JfBfm+tCMAplbmRzdHJlYW0KZW5kb2JqCjE1IDAgb2JqCjMyODgKZW5k + b2JqCjE2IDAgb2JqCjw8IC9MZW5ndGggMTcgMCBSIC9OIDMgL0FsdGVybmF0ZSAvRGV2 + aWNlUkdCIC9GaWx0ZXIgL0ZsYXRlRGVjb2RlID4+CnN0cmVhbQp4AYVUz2sTQRT+Nm6p + 0CIIWmsOsniQIklZq2hF1Db9EWJrDNsftkWQZDNJ1m426+4mtaWI5OLRKt5F7aEH/4Ae + evBkL0qFWkUo3qsoYqEXLfHNbky2perAzn7z3jfvfW923wANctI09YAE5A3HUqIRaWx8 + Qmr8iACOoglBNCVV2+xOJAZBg3P5e+fYeg+BW1bDe/t3snetmtK2mgeE/UDgR5rZKrDv + F3EKWRICiDzfoSnHdAjf49jy7I85Tnl4wbUPKz3EWSJ8QDUtzn9NuFPNJdNAg0g4lPVx + Uj6c14uU1x0HaW5mxsgQvU+QprvM7qtioZxO9g6QvZ30fk6z3j7CIcILGa0/RriNnvWM + 1T/iYeGk5sSGPRwYNfT4YBW3Gqn4NcIUXxBNJ6JUcdkuDfGYrv1W8kqCcJA4ymRhgHNa + SE/XTG74uocFfSbXE6/id1ZR4XmPE2fe1N3vRdoCrzAOHQwaDJoNSFAQRQRhmLBQQIY8 + GjE0snI/I6sGG5N7MnUkart0YkSxQXs23D23UaTdPP4oInGUQ7UIkvxB/iqvyU/lefnL + XLDYVveUrZuauvLgO8XlmbkaHtfTyONzTV58ldR2k1dHlqx5erya7Bo/7FeXMeaCNY/E + c7D78S1flcyXKYwUxeNV8+pLhHVaMTffn2x/Oz3iLs8utdZzrYmLN1abl2f9akj77qq8 + k+ZV+U9e9fH8Z83EY+IpMSZ2iuchiZfFLvGS2EurC+JgbccInZWGKdJtkfok1WBgmrz1 + L10/W3i9Rn8M9VGUGczSVIn3f8IqZDSduQ5v+o/bx/wX5PeK558oAi9s4MiZum1Tce8Q + oWWlbnOuAhe/0X3wtm5ro344/ARYPKsWrVI1nyC8ARx2h3oe6CmY05aWzTlShyyfk7rp + ymJSzFDbQ1JS1yXXZUsWs5lVYul22JnTHW4coTlC98SnSmWT+q/xEbD9sFL5+axS2X5O + GtaBl/pvwLz9RQplbmRzdHJlYW0KZW5kb2JqCjE3IDAgb2JqCjczNwplbmRvYmoKOCAw + IG9iagpbIC9JQ0NCYXNlZCAxNiAwIFIgXQplbmRvYmoKMTggMCBvYmoKPDwgL0xlbmd0 + aCAxOSAwIFIgL04gMSAvQWx0ZXJuYXRlIC9EZXZpY2VHcmF5IC9GaWx0ZXIgL0ZsYXRl + RGVjb2RlID4+CnN0cmVhbQp4AYVST0gUURz+zTYShIhBhXiIdwoJlSmsrKDadnVZlW1b + ldKiGGffuqOzM9Ob2TXFkwRdojx1D6JjdOzQoZuXosCsS9cgqSAIPHXo+83s6iiEb3k7 + 3/v9/X7fe0RtnabvOylBVHNDlSulp25OTYuDHylFHdROWKYV+OlicYyx67mSv7vX1mfS + 2LLex7V2+/Y9tZVlYCHqLba3EPohkWYAH5mfKGWAs8Adlq/YPgE8WA6sGvAjogMPmrkw + 09GcdKWyLZFT5qIoKq9iO0mu+/m5xr6LtYmD/lyPZtaOvbPqqtFM1LT3RKG8D65EGc9f + VPZsNRSnDeOcSEMaKfKu1d8rTMcRkSsQSgZSNWS5n2pOnXXgdRi7XbqT4/j2EKU+yWCo + ibXpspkdhX0AdirL7BDwBejxsmIP54F7Yf9bUcOTwCdhP2SHedatH/YXrlPge4Q9NeDO + FK7F8dqKH14tAUP3VCNojHNNxNPXOXOkiO8x1BmY90Y5pgsxd5aqEzeAO2EfWapmCrFd + +67qJe57AnfT4zvRmzkLXKAcSXKxFdkU0DwJWBR9i7BJDjw+zh5V4HeomMAcuYnczSj3 + HtURG2ejUoFWeo1Xxk/jufHF+GVsGM+Afqx213t8/+njFXXXtj48+Y163DmuvZ0bVWFW + cWUL3f/HMoSP2Sc5psHToVlYa9h25A+azEywDCjEfwU+l/qSE1Xc1e7tuEUSzFA+LGwl + uktUbinU6j2DSqwcK9gAdnCSxCxaHLhTa7o5eHfYInpt+U1XsuuG/vr2evva8h5tyqgp + KBPNs0RmlLFbo+TdeNv9ZpERnzg6vue9ilrJ/klFED+FOVoq8hRV9FZQ1sRvZw5+G7Z+ + XD+l5/VB/TwJPa2f0a/ooxG+DHRJz8JzUR+jSfCwaSHiEqCKgzPUTlRjjQPiKfHytFtk + kf0PQBn9ZgplbmRzdHJlYW0KZW5kb2JqCjE5IDAgb2JqCjcwNAplbmRvYmoKMTEgMCBv + YmoKWyAvSUNDQmFzZWQgMTggMCBSIF0KZW5kb2JqCjIwIDAgb2JqCjw8IC9MZW5ndGgg + MjEgMCBSIC9OIDMgL0FsdGVybmF0ZSAvRGV2aWNlUkdCIC9GaWx0ZXIgL0ZsYXRlRGVj + b2RlID4+CnN0cmVhbQp4AdVZZ1gUzbLu2bzAEpacl5xzWkByzjmKwJJzzqgoAkpQEJUM + IiggKghIMIGACUGSCCqIRAVBRQQUE3fQz+875zn3/Lt/7jzP9LxbVV1dO9Xd1VUDAPsq + JSIiBEEPQGhYTJStkS7J2cWVhJ0EWMAN8IAHMFG8oyN0rK3NwX+9tsYBtMt8IrWr67+K + /e8MBh/faG8AIGuY7eUT7R0K42sAIHS9I6JiAEB+genD8TERMEY9hDFTFGwgjKd2sf9v + vLaLvX5hNOqXjL2tHgBoNgBwNBRKlD8ABEGYTorz9of1EPQBwBDDfALDAGB0hrGmdwDF + BwD2IlhGMjQ0fBffhbGo17/o8f8XTKF4/a2TQvH/G//+L3BPeGD9wOiIEErirx//l01o + SCz8vn5dRLilCQux3PUNC3wv+VD0zeAnF3z/jAj55TNYBuLwDXOwg2m7WDLMy9LqL6zp + F2VoC2O4L2QdEaO7i+F3BvlFxFjb/0U/mBSgZwljGph+2jfa4I+eyiCK6a7PaGF6c1Ss + rQOMBWF8JzrOzgDG8IyCFpIC7J3+ktnw8dX/i45A+AUamvyWQRADY0x2x2KCfc4fHG62 + awM8FkIZmIEQ4AtiQRTchgEpYA70gP5frRTwAxSYEwfzokEweA3jULhHONwnHMakv+T0 + /oNi+KufP9zv3zWSgDcsG/v3mL9HI8Fj/tEZCHxg/IdOgcfY5e1aF+0RmPrPmH8kdvX9 + skb2kuyy7Pc/NqGEUfIoJZQuSgOliVIFJBQLigNIoRRRZJQOSgulDvNUgSFYgDX7/7Fx + V39os19cUXiimmMAzN39715/uMDxl3Tg37//wwIQOLjavvrHAgBifBPgdQCAXnhEYlSg + f0AMSQdeub6SJJMwb2lJkrysnNwu+//Ntbtn/Tb2k+2vvQhiGfqHFrUFgPoTeD6O/kNz + LwOguRAAui//0IT0AWAoAKDbyzs2Ku63PtTuAw2oAB08Q9nh/VAAiMLvWR4oA3WgDQyA + KbAC9sAFuMPzJwCeg1EgHuwHh0EGyAZ54AwoAWdBNagDV0AzaAe3QDe4D/rBMHgKJsEM + WAQrYA1sgW8QBGEhAsQIsUO8kBAkAclDZEgTMoDMIVvIBfKE/KEwKBbaDx2BsqF8qAQ6 + B12EmqDrUDfUB41Az6FZaBlah74ikAgaBBOCGyGMkEGQEToIM4Q9Yh/CHxGJSEKkIU4g + ihBViMuINkQ3oh/xFDGDWEFsIgGSGsmC5ENKIclIPaQV0hXph4xCHkRmIQuQVcgG5A3k + A+QT5AxyFbmNwqAYUSSUFDxPjVEOKG9UJOogKgdVgqpDtaHuop6gZlFrqJ9oApoLLYFW + Q5ugndH+6Hh0BroAXYNuRd9DP0UvorcwGAwLRgSjgjHGuGCCMMmYHEw5phFzBzOCmcds + YrFYdqwEVgNrhaVgY7AZ2GLsZWwXdhS7iP2Co8bx4uRxhjhXXBguFVeAq8d14kZxb3Df + 8PR4Ibwa3grvg0/E5+LP42/gh/CL+G9UDFQiVBpU9lRBVIepiqgaqO5RTVF9oqam5qdW + pbahDqQ+RF1EfZX6IfUs9TYNkUacRo/GjSaW5gRNLc0dmuc0nwgEgjBBm+BKiCGcIFwk + 9BKmCV9oGWmlaU1ofWhTaEtp22hHad/T4emE6HTo3OmS6AroWuiG6Fbp8fTC9Hr0FPqD + 9KX01+kn6DcZGBnkGKwYQhlyGOoZ+hiWiFiiMNGA6ENMI1YTe4nzjEhGAUY9Rm/GI4zn + Ge8xLjJhmESYTJiCmLKZrjANMq0xE5kVmR2ZE5hLmW8zz7AgWYRZTFhCWHJZmlnGWb6y + crPqsPqyZrI2sI6yfmbjZNNm82XLYmtke8r2lZ3EbsAezH6SvZ39JQeKQ5zDhiOeo4Lj + HscqJxOnOqc3ZxZnM+cLLgSXOJctVzJXNdcA1yY3D7cRdwR3MXcv9yoPC482TxDPaZ5O + nmVeRl5N3kDe07xdvG9JzCQdUgipiHSXtMbHxWfMF8t3jm+Q7xu/CL8Dfyp/I/9LASoB + soCfwGmBHoE1QV5BC8H9gpcEXwjhhchCAUKFQg+EPguLCDsJHxVuF14SYRMxEUkSuSQy + JUoQ1RKNFK0SHRPDiJHFgsXKxYbFEeJK4gHipeJDEggJZYlAiXKJEUm0pKpkmGSV5IQU + jZSOVJzUJalZaRZpc+lU6Xbp9zKCMq4yJ2UeyPyUVZINkT0vOylHlDOVS5W7IbcuLy7v + LV8qP6ZAUDBUSFHoUPioKKHoq1ih+EyJUclC6ahSj9IPZRXlKOUG5WUVQRVPlTKVCTIT + 2ZqcQ36oilbVVU1RvaW6raasFqPWrPZBXUo9WL1efWmPyB7fPef3zGvwa1A0zmnMaJI0 + PTUrNWe0+LQoWlVac9oC2j7aNdpvdMR0gnQu67zXldWN0m3V/aynpndA744+Ut9IP0t/ + 0IBo4GBQYjBtyG/ob3jJcM1IySjZ6I4x2tjM+KTxhAm3ibfJRZM1UxXTA6Z3zWjM7MxK + zObMxc2jzG9YICxMLU5ZTFkKWYZZtlsBKxOrU1YvrUWsI61v2mBsrG1KbV7bytnut31g + x2jnYVdvt2Wva59rP+kg6hDr0ONI5+jmeNHxs5O+U77TjLOM8wHnfhcOl0CXDlesq6Nr + jevmXoO9Z/Yuuim5ZbiN7xPZl7Cvz53DPcT9tgedB8WjxRPt6eRZ7/mdYkWpomx6mXiV + ea1563kXeq/4aPuc9ln21fDN933jp+GX77fkr+F/yn85QCugIGA1UC+wJPBjkHHQ2aDP + wVbBtcE7IU4hjaG4UM/Q62HEsOCwu+E84QnhIxESERkRM5FqkWci16LMomqioeh90R0x + TPDhcCBWNDY9djZOM6407ku8Y3xLAkNCWMJAonhiZuKbJMOkC8moZO/knv18+w/vnz2g + c+DcQeig18GeFIGUtJTFQ0aH6g5THQ4+/DhVNjU/deOI05Ebadxph9Lm043SL2XQZkRl + TBxVP3r2GOpY4LHBTIXM4syfWT5Zj7Jlswuyv+d45zw6Lne86PjOCb8Tg7nKuRV5mLyw + vPGTWifr8hnyk/LnT1mcajtNOp11euOMx5m+AsWCs4VUhbGFM0XmRR3FgsV5xd9LAkqe + luqWNpZxlWWWfS73KR+t0K5oOMt9Nvvs18rAymfnjM61VQlXFVRjquOqX593PP/gAvnC + xRqOmuyaH7VhtTN1tnV3L6pcvFjPVZ97CXEp9tLyZbfLw1f0r3Q0SDWca2RpzL4KrsZe + fdvk2TTebNbc00JuabgmdK2slbE1qw1qS2xbaw9on+lw6Ri5bnq954b6jdab0jdrb/Hd + Kr3NfDu3k6ozrXOnK6lr807EndVu/+75Ho+eyV7n3rG7NncH75nde3jf8H7vA50HXQ81 + Ht7qU+u7/oj8qL1fub9tQGmg9bHS49ZB5cG2IZWhjmHV4Rsje0Y6R7VGu5/oP7k/ZjLW + /9Ty6ci4w/izCbeJmWc+z5aehzz/+CLuxbfJQ1PoqayX9C8Lprmmq16JvWqcUZ65Pas/ + OzBnNzc57z2/shC98H0x7TXhdcEb3jcXl+SXbi0bLg+/3ft2cSVi5dtqxjuGd2XvRd9f + +6D9YWDNeW3xY9THnfWcT+yfajcUN3o2rTent0K3vn3O+sL+pW6bvP3gq9PXN9/iv2O/ + F/0Q+3Hjp9nPqZ3QnZ0IShTl11kACbcIPz8A1mvhHMIFzh2GAaCi/Z1T/JKA0xUIloGx + BLgLiUFx0DQcgS8iSchSlADqMlobPYXJwZrAMWwR30V1hfoyTSuhl/YlPYZBgRjO2Mr0 + ncWQtZxti8Oa8xI3hsedt4WPwO8rcE0ILewsUiX6VpwssV+ySxrI6Mmmy/UqIBX1lNKU + b6lsqEqouarn7bmrsaUlou2kk6HbrDdlgDIUMzIx9jNJMT1jdsn8tsWg5ZTVexsaW3k7 + B/sEhxLHm04vnL+6cu/d4+ayL8Y9z6Pes5cy5fXJh9pX0E/V3zTAIdAzKCg4IiQp9FDY + kfCjEcci06PSovfHRMcGxXnG2yboJSoksSV9TL67v/BA2EHDFL5D4ND84b7U5iOVaSfT + 0zMSj0YfC8sMzgrPPpXz9oRa7rG80Xy2Uy6ni8+MFmKLVIv9Sk6U3iibrkCeFam0PBdX + VVbdfX6+BlcrUWd5MaI+/1Lz5cErq434q8JN2s17W2Kv5bZebLvfPtexc4PjptIti9uU + zpiu9DsF3Rd6Gnpv3L1/b+z+yweLD9/1LT561n9voPlxyeDBIfdh9RHmkQ+jvU+Kx8Ke + ao8TxxcmGp5FP1d8vvGicdJvinNq6OXhafnphVcFM2azyNmbc3HzKgtg4fFi4WvPN6Jv + Piw1L0e+lX77dqVq1eUd4V3n+4gPAh+m1s5+DFxX+0T8tLExtzm5Nfd5c5vpq9a36O9X + f6zvCO3swP7nBkcgBsgJakfwIOIQM0hzZDd84h9Ce2MQmAasH04Et4YfpGqlrqOpJFTT + ttA9pt8gsjMaMyUyN7Ess4mye3FUcb7gZuWx5z1Kus33UUBI0EooWfi8SL/oO3F6CTlJ + O6kI6eMyV2Qfys3If1GkU+JXVlOxIHurxqgdUT+1p0qjQbNDq0u7V+eebi8cV24ZtBpe + NqoyLjOpNm0y6zJ/ZDFuOWu1Yr1hC+yo7YkOHI78TiLO0i4KruS9mm4G+4zdjTy0PckU + WS8Rb14fFl+CH8pv2/9DwELgs6CB4O6Q9tD6sIrw3IiESMMoQtRYdEVMSCw5Dhv3Ir4x + ISNxX5JyMkPy6v5HB+oPZqYEHHI47JRKORKaFpeeknH06KljFZm1WVezb+U8OD5y4mXu + Ut6nfHCK/jTfGfkCnUK7Ir/iuJL00oKymvJrFV1nByrHz81WLVd/OL9dQ1UrVmd+MbK+ + 5FL35bcN9I0aV4OaCpvvt3xuFW1za8/t6L6+cZN0S++2T2daV9mdxu7unv7ewbvD8O7U + +aDpYVVf7qPkfv8Bh8e6g5JDTEPbw89HWkfzngSP6T/lfvpl/MlE87P85zEvXCf1pmRe + ck5TTX99tTozM/t0rn/+3kL3Yufrzje3lm4vd77tWuldffxu8QNmTfFj1PqtDZbNI1s/ + vqR/ZfvW+sPp589f/neEmCBfePUrItIRb5CGyMsobtQJNDU6E0PEnMdqYqdxGXgyfouq + jfoQjR1BipZAu043Rz/E0EvsZnzM9JL5AyuKjY1dlEOb05bLlzue5yjvGdJ5vhb+LoE+ + wSdCr4SXRdZEv4gDCZwkTnJH6qP0K5lh2TtyjfLlCpmKsUruyoYqEmQ68gfVEbUG9cw9 + Phpamuyaa1p92tU6Kboeelr6PAYIgwXDB0b1xsdNokwdzNTNuS2AxZxlj1W1dZqNn62l + nbq9oAONwwfHQad65zQXZ1dx1+97B9xK9wW6q3lQe7z0bKJkefl6i3sv+pT7uvhx+L3y + rw9IDLQIEgqGgl+G3AwtDosJt4wQifgR2R+VF20XwxrzIrYsbl88KX4uoTrRO0ko6XVy + zX7/AyIHlg7Wp0Qfsjwsm8qQunnkRVpnehU8T0KOWWfKZzFlbWWP51w7fvJEeK5NntJJ + nnzq/K+n3p2eOzNRMFY4VvS0+HnJTOly2Wb5zll8Jdc5raqw6vLzgzVQrWKd/8XS+r5L + 61dYGpQbra/6NMU0H245ca26tbNtvH31OrhBvMl/S/G2SadX1+E7Nd1jvVR3je4dvz/+ + kLpP6ZFHf87ArcdrQ2LDlJHK0ekxnqdu42UTL56zvXCePDe1Ne3yanjWbR638Oz12DJ+ + JeY9ce3Np/nP3F/Df7za9f/v2tJuTMAoA3CBAQBHOAe1vARAZTgAQnC9hh6ul1gTALBX + BYjYHoCgGQBQzsDf8QMBCHCuKQ9M4Cw/CZyGs8eHYA58h1ghBcgKCoaOQRegHmgG2kHw + IjQRHohDcFZ3D7GMpEUqIt2QacgryAkUEiWH8kCdQHWh1tHCaFf0SXQfBonRxCRh2jFb + WBVsAvYm9ifOAHccN4Hnx0fCEYmOypPqGjWe2pO6g4aRJpxmkCBDyCds03rRDtJp0DXQ + 89MXMRAZThBxxBTiJmM441smf6bXzAHMKywRLJusB9mo2IrYRdivc1hyLHAe4GLjusZt + y73BU8prxLtJquHby0/P3ydwVNBQiEroiXC5SLiovhiX2GfxZxK3JWukzkk3yvTIjsot + yH9ShJRolFlVeMhCcGRTUFfZs0dDV1NPS1RrVbtDJ13XQU9U76f+C4MOwyKjg8aBJo6m + JmY65poWZEsNKx1rYxsbW2c7L/twhxTHU061zrddJlzX3ej3ybrbexzwrKWMeSN8FH2D + /c75TwayBTkE54eMhjGGO0VURC5Fq8bkxS7HGyfUJtEmH9j/9qBrSt9h3dTONNX00aPx + mWJZr3KqTkTmWeQrneYvIBVxlgiUyVXoV4ZVNV1A1vpfXLwc1ohoqrym0TZ03fvmu077 + O829PPdyH9I8Kn6sMfR+9OrT9Gehk27T8jNz87GLH5ds3xaudr9/sja4fmOjcCvgi8z2 + 2rcrP/x/7R9IuN4gAFcY7OEaUhaoAb3gFex9drgm4AhXAU5DLdAYtIlgRajBuX0qohYx + CGfxPEgTZAyyEjmE3EHJwpl5IWoQjYHPHMno6+htjBrmAKYbi8PaYEuwizhFXBpuHC+O + P4x/RiVPdZxqhdqcugHOfhNp5glWhC5aedo6On66Cnpu+koGYYZGojyxmVGR8TqTNlMf + swPzPEs0K5K1kE2G7SG7NwcCjlUGnG+5TnKrcr/mKeK1IdGQHvFl81sIMApMCtYIxQob + iLCLfBR9JFYtfkTCW9JEiixtLhMumy/XJD+u8EWJTVlVxY2conperU/9vQarpq7WPm2K + jreun16ofoJBumGeUYVxk8kD00mzdQuCpaiVgbWvzTHbWrs++xVHeic1Z0+XTNeOvcv7 + ON2tPY55PvDCeJv55PlO+ksGJAf2B2NCdELjwprCX0fyRblGl8ZMxDHEWyecSHycTLPf + 4sDxg48PEQ87p1YcWUnXz6g5hs+MyFrM8To+lxt2Epdfc9qpgK5wqLiwNLBc9yx75ceq + kfM3ai7XXa3vuvy0Ya2JuUWx1bk9/vqZm1du93QNdb/qfXfv20P0I/oBzkGhYfFR6TGF + ccVnmi90p0ymbWdc5+wXVF4T30wvn1nRXZ19H/Nh82P0+sKG9WbbZ+YvEdt930jf4370 + //I/BHCAA17/lnBF6ThoBKNgE+KAtOCYkgNdg6YQWIQ87PmjiBb4bEGL1ERGwHWaCRQB + ZYBKQd1EbaPJ6ET0bXi1m2JOYaaxkthk7ABcN4nHjeGV8CX4HaoAqifUutQtNKLw+YOL + UEpLor1Ap0DXQ+9Av8KQQeQn3mF0Z/zJdI5ZD17bp1i1WFfZytmtODAcXfC61uRGcD/k + yeY1JzGRZuCYlCsQLugqZCKsIUIWJYspi6tKaEhqSmlIu8sUyw7LIxWkFe2V4pXPqDST + R1XX1Tn3GGskabZp0+qE6g7rqxnUG7Eb55vSm+VasFietZa26bYzsm9wpHWKcX7qumdv + 3T5G91SPLUqk1zufCN9t/6xAjqDaEPnQa+EqEW1RCtHXYiXjKhNYErOSvu8PPzCdYnXo + YeqeI+fTNjL0jxYcW85Szc7JmTtBzs3N+5DvcOruGaWC1iJy8aNSr7KdiopK2XOd1fbn + 39dk18lcfH4p7Ypkw9jV+GaGlrpWpbabHZrXr9+UvHWuU7yrvzukl/Zu432LB0t9Kf3s + A82D+kOTI5FP8GN14zoTc8+PTIpM9U47vpqd9ZmbWNBcLH+9tCSy7Po2eSVvtfxd0fvs + D9FrDh9l1tHrI5/KNtw2uTafbZ3+bPr585cL2zbb37/WfrP49gnOOtR/TP/s2/V/tJ+C + /G70ABCNLlx+nN7Z+SQMADYfgB8nd3a+Ve3s/KiGkw34G8idkN/fK3aFMXDNvezKLroX + Urn7+LfrfwDOforHCmVuZHN0cmVhbQplbmRvYmoKMjEgMCBvYmoKNTk2NQplbmRvYmoK + MTMgMCBvYmoKWyAvSUNDQmFzZWQgMjAgMCBSIF0KZW5kb2JqCjQgMCBvYmoKPDwgL1R5 + cGUgL1BhZ2VzIC9NZWRpYUJveCBbMCAwIDYxMiA3OTJdIC9Db3VudCAxIC9LaWRzIFsg + MyAwIFIgXSA+PgplbmRvYmoKMjIgMCBvYmoKPDwgL1R5cGUgL0NhdGFsb2cgL091dGxp + bmVzIDIgMCBSIC9QYWdlcyA0IDAgUiAvVmVyc2lvbiAvMS40ID4+CmVuZG9iagoyIDAg + b2JqCjw8IC9MYXN0IDIzIDAgUiAvRmlyc3QgMjQgMCBSID4+CmVuZG9iagoyNCAwIG9i + ago8PCAvUGFyZW50IDI1IDAgUiAvQ291bnQgMCAvRGVzdCBbIDMgMCBSIC9YWVogMCA3 + ODMgMCBdIC9UaXRsZSAoQ2FudmFzIDEpCj4+CmVuZG9iagoyNSAwIG9iago8PCA+Pgpl + bmRvYmoKMjMgMCBvYmoKPDwgL1BhcmVudCAyNSAwIFIgL0NvdW50IDAgL0Rlc3QgWyAz + IDAgUiAvWFlaIDAgNzgzIDAgXSAvVGl0bGUgKENhbnZhcyAxKQo+PgplbmRvYmoKMjYg + MCBvYmoKPDwgL0xlbmd0aCAyNyAwIFIgL0xlbmd0aDEgMTA1NjggL0ZpbHRlciAvRmxh + dGVEZWNvZGUgPj4Kc3RyZWFtCngBvXp5fFTV2f9z7jL3zpLZJ7Nn5mYyd2ay7wsJZAjZ + 2CIQhAQJJoFA2GQxROEVBAsqAamIxAhtFa3IIjIElAGqpRQFf62KbUWldofWX39Nedsf + WKtk5n3unRAhb+vHP/z03nnOec5yz33O9zznOc85c7tWruqAJFgPNEyZ1bZ8PshX4FkA + sm/u0rblibSJx/jM3O4ubyLNBgHoJfOXL1iaSPNPAqjcC5asHnre9BmAqbSzo21eohxu + YFzciRmJNCnEOK1zadf9ibTxGMbTlyybO1Ru+immM5e23T/0fvgY09572pZ2JOoHHsA4 + bfmye7uG0rkYT1q+smOoPmlC+d4FgrkWWAZKWAwcUKDHuwWA+0TlBgZLpXK85mWqd9yt + q7gOBqmbAHdP/rYcvyn84OJnHTcC6u38PzFDebO+FCtCsRCAhmD5gHr7cIn8HAaWKDRm + RGE8UiVSEVJGxlgbrCd74XGkZ5FoWEi2wGqkzUhPIzHD3H5MnSBb+hk+fJKsBgeZEFYz + nulmu8emUnt+FiWKY9/zfGT7wylix9H7HbH3J4FyrIo8S56BeeAhL4CfrIF6CJJdR0NL + PK1YtB+WI61HouWQkP39Kfme10km+BmCz4iQwpBXPX/Ky/JcyYtSpN9zJhBlMPpRCqbC + Os9p9/c8P3Qv8LyOdDBRdCCENV717Hcv8exIiZJd/Z4n3FGCz2xPRKvc+OirnqWhXs+8 + PLl8Um+UOtjvKcPyGWG1p7hU8BS5L3tyAlGeYDrLPcmTnve2Jw0fxGpebNQfNnhc7h2e + UViU4q4JjEI6RQ6Q3ZBOdvf7J3hOIovdPTo+VNobJf91tD6Y54+SNeHi+mBvqD7gD03y + +EO1gQDyM85zG7m7uLFcPpfBBTmREzgnZ+aNvJ7X8hpexfM8FyUv9Vd6FKfIQahEWA4e + 5RU8GyUvYyZzihySMw8d5xme4oE3R+O/ReUlYI6Sg8f0EofMqwqZU0TJoaOJrENhDyNx + jFygpyQeAwyBIjwFEyBCHosqYFNyd6Wt0jjGUFZb/e+CVrnkZpjx7y8bcUd6JzY2RQ64 + myP5EhN3N9+sbrvJ/Nu4axUWdVRlZEyctvpo9/JF82s6fDWtvpoOpNbIlu5OW2R9u9d7 + ZNFyqcAbocXW9rmdUtzWEVnu66iOLPJVe490y8+NKJ4vFXf7qo/A/JrpTUfmhzuq+7vD + 3TW+turmo+1VK1tue9fm4XetrPoX76qSGlspvatdfm7Eu1qk4nbpXS3Su1qkd7WH2+V3 + SZ2vWdhYdW8Xaqe3ZuFEbyTYGBk/dVZTxNvWXB0lezGzehWwp0HPvgZBdj04mBzwAMQ/ + QrokxbE7439kz4E+tjT+N7ocB/WERFSssgJOw2OwGw6DAvYhH4Q50AdvkUU4t2fDMbhI + UiAbbS8DUZgEPyXx+HswH76P9bvgDOyEI6DBZ5aiDZsE24g/vgbTYeTbYWP8OUiDUngY + XoMybHUbDMT3x49i6TS4Ew7AQXz+J8RHHWFM8Zfjl4GHqdjmRix5Lz4pfhiMkAlVMAVz + N8LrxE9fineCDcpRuu/AM7AHfgR/IQ+RY/HOeHf8Qvx3qKo2cEEj3mvJMfI7+jDzcPw7 + 8T/HY4hEENLxra2wA57H9g/jfRpNaw1ZTLrIDrKTClMPUceYTaw1Nog4hKAO73q0yo8i + AifgLPwd/kmuUjZaT3fRb8SL4v8f1DAReyn1pAO68X4E723Yp1NEQXLJODKFrCVPkp3k + 51Q6dSfVRN1H3U/9kW6gZ9Or6Z8z9zL97Fa2T6GOXY+fip+Lvw9WcMNdsBLWYe/OwAW4 + Bp8TGttyET8pJ1VkDt7ryW7qBNlDTlBTyGlygTpAfkP+QK6SLyiW0lAWKoPqonZQB6kz + 1Dv0Qnon/TT9G/o6M4al2D3sFYWf+2WsPbY59k68PP67+GdoYnkQcGSqoAHuhjbs7XIo + hAexF4fwPoyjdhbegLfk+w/EBQPwGaIAxEgcJJ9MxruB3EHmk4Xke+Qk3q/LsnxK4UBQ + SspAWSkX1Ui1U0up9dT71HraSafTE+hZ9GG8z9MX6S/oLxiWMTEWpo4ZD1uZpcwuvPcy + +5h+5l22jB3DNrAz2PXsZnYrPZd9j72oWKfYpuhXXFX8N5rFSdwybiuOzluosz9CXf7y + YkgaSp8P98BcUk3aoRdHYw9pgx7UrnnkUcRrOQTjLfQ6uo7KRW14Hf4LtXUXrIXN9GzY + E/+QPgAfoKYswSbXw4tMFbjZp3B0HoJc1KKhOxxKDwUDoj/Nlyp40eS7nA67zZpsMZuM + Bn2SRq1S8pyCZWiKQGaNr7bVGxFbI4zoq6/PktK+NsxouyWjFaeyN1J7e52IV3quDYtu + qxnGmvNH1AwnaoaHaxK9twIqsjK9NT5v5O1qnzdKZk1tQv6xal+zNzIg85Nl/nGZT0Je + EPABb42ts9obIa3emkhtd2dPTWt1ViY5EUY4VFmZkuEIg1pqOALj2taigYVxUo2aiMNX + XROx+5DHMtpf0zYvMmVqU021UxCaMQ+zpjXhO7IyF0ZQTtiimeebtyUahvZWiWub3RSh + 25ojVKvUliEjYvVVR6xrrti+TN7karbeUhih/LVtHT21kXDrFgRXSrZKqbatmJrY6MVm + qU3NTRGyaUgIScZFKKkkbmJN8Lcu8kaUvipfZ8+iVgQXpjX1O8IO2fhGYEpTvz1slxNZ + mSds68oF7P2JrLFZY6W4XLCtS8R/+lYi/2enpdi27uxvMZ44bRgAIiHgG49yRrxz5Zf4 + UNhSKegohZ65pYgTXs0Eu7kQ5RkXoVBnaH+E9Y9vi6xvvClGZ3VCuNZF1f1Ku0NehKqa + sX5rj34UjhTW1/u8PddxtW71Dfzl9py2oRyFX38dpEJpoId1JULabvLd0mLpx1532nyd + 0vh2y2OKaZ+t5pYMTEvQSDJHzLiAT2kSIt5mzEBvMnNiFJRTmo4Qsq05SuKbolDtPoE+ + Kn33HCzOlFRtYTW+HxNZmZiRLiCXnemtxTfXSrri7fH2jJ/X4631dqIyMX45xoKOnuYc + RLCxCXGC6fjGcLNzmO1obh6F7eRI7eAjWL2nGVtYNNQCxnJWziBWys3ExZQWpzRNbYqs + r3ZGwtXNOAqovqenNEVOo+Y2N2OtvGFJUeK1C21DMuejzHnpWF6QaAV9l/XYRHNPj9Rm + Y5NPiJzu6XH2SPMtkY4SGJkRHsqIglRFgjxK1k/BZzHyCU55DASfgGI1S5gWokrf1Cj0 + 2b8a4eJhufHJEpS2WEa49BtCuOzrIDzqayFcPizpbQhXoMzlEsKj/3MIj7kN4cqvRjg8 + LDcKORalDcsIV31DCI/7OghXfy2Ea4YlvQ3hWpS5RkK47j+HcP1tCI//aoQnDMuNQk5E + aSfICE/6hhCe/HUQbvhaCN8xLOltCE9Bme+QEJ76n0N42m0IN341wtOH5UYh70Rpp8sI + z/iGEJ75dRBu+loINw9LehvCs1DmZgnhu4YRDjsjcKsdXj/C7MI3bphn3wI5ekqsEaqo + MgDmXggjnR2K8zAuxH3YLKQX2BnQiulpSKVI9UijyTnYrDgAG5GXqJw6AJtxUy61ZcVm + b54HaXCXIp1HeXH/gdvzr7wo9PQZYPGJr7o43A181aX8ikKVXKbGnR/gSc/NSws6PMsy + yEkjmMA8VFCIu4zn4DyZjvuEBurXdJjRMBfZKoVGcUxxkZvGvcyL/BLlJOV3cCNRhQhe + wD0tjWdjlYnzKj4HnQokXh8FuIAkpZGnP44CgwTIcx/DSXwCYEbGSWyFxTg3r8AgGAJI + Vcy26I3fs699Pi7KTP4Czz6wRjj+EeNi+1BiF6wIWx9hSS1vKdKxriIuyVhKL7OVqlPq + 3Prus7ZfDAwOQOVA5UBe7rjV4UJwJonE7xCVflZM1tqC2EtjkDh55PQK5KwaS5CYKAzs + KlcQDAwG0n6eSIF8bcDjPmuyQc9RgjcgGgpLjIKx2FBI+VIpg9maXECHH2iduS72+1hs + 3cLKblLUs/f+Q8/syKl/me27ciT209jHP4z99benSPm1w6T28yufkWnXSHns/divfrnp + JxLgBHdxQL3PPoHj6zvCkygpCGsYhtMwXC8Lqjql1Kmz7w+WQWXltbfzck1FY0hJgcFn + OPvjXeK20/SnPabmvZ/fQ38qtxXG8UhhvwupsDfcUMzUMjPZxe57UtakbCSPUHw6P8u+ + 2P6A/QHXK3YWUomOcWntAuey49kd69HpUk2qIhPr9awSUjXCg1xp8rJUbUC3wVOamlbn + S4B7bUB/feAyVFYMVlQOGIxlOUZrGcHYWFZmwABaZNhdjF3jN4hqozYISjOH4DJJelWQ + 8BYMEF+9XsYXoS02VpLikuKiQtGXyik4H/JCvtFi5hQ6osAMwSJM2PSj0xsKp/WuPVEn + MsfpqlUk+OkfVte+srm9dJ6D1t4InSDG5csmFjUuXrtj68RNp7ovxD59/qU1dR2TivNm + Ljog45KH+uNgd0EenA17xmsaszpCc7NWhVZlKXpFMpHPUNkyzEn0P/PMRUm4EfOFzYYi + /YNJSXnOojSWK8pLsvUGqg1RPEHVqUqzl1GekHcDHaAK6vJvQWXgWkLxEJRrg3/UD+gl + fCRsZEiKc3LtIihZ0e1PFRVAB4Gh+VyEw+XzBMHhtwUJQziEKweDFMGJmIkYDCujvkLS + xg0bEDPSwlBFBcmoe/kJ4BRcUQopyL8FxkIJRtztIoIpxGIGH0m+8gNNsPb4tpde2WP0 + m1xicsfYlX0dx2pEtj98D7H88r/rMmtXPBj7+2cBYj2/pXJF3/1PdhPyDE15Sx9f3HV/ + 1Zpnl5//8YmN0wrcniPr347FEFacl4WobzmsZAmSYHY4VUmp+CRCUa8bFQqOUhCW4/GM + hFNRq9TsVVrDMXSUWF8hvUn8S6ooaTrK6uq0MoLXr1UMolZVYlRhKJNRQ+DKHsnOYNbq + 39Dl5RKDkhiEIlJgKLD4DNQLsSLyzuBW6vG+n/8cj1c2D94XY8mcCL3txt3fjT2XkG1W + /BK7gr2CJwMpcCRc7mSfIr0s7SEe5iHyCLvZxDby9MNug8GiGOWmNaMsyhQqJcVO51Hl + +jyDw6vMs9s93j3Covl4ytlwbfJAg/7TyTjGOLwoKBoYZPQJKzMKXFa/SdT6naI6WZkP + SWZ9PjEadHrOhSkW6HxCKIZW2TT5oDNiwDsU+TjcGEiDSvQV0ugmQnmUcYh5YvVlE18q + GPRGaWhLCnA6yBZIj2PrY1JIoeGM8Eb/R7Hrf7v68b2jU844njgc+yAOL1956SSpC7JX + YpdObdsbezf2RiwW++H+5u2ffPe13W+Tl0jNhd/LY/cCroJz0XYn4bncgrDnEUOvkcrn + 1Sk6ClKsPJ9ncjiS/Fq73XFR6N6cwGBQxgAqBysHZaUWSbLBbxEVHMsxHM1RHKtQ6Xns + bTIGSqM6n3BmPOmRzWk6am+LX+qJNN/1lE8w0IIXLauZo0KEutAxtmtCuUP30d9iz5yn + GknOizubdsceHjx8wBJY1rylsY4YSPYXfazpgzOx9/78Wqxf7kNr/H3mUxzjHDz7iYXn + hHQBnygWa4uEOrFdXKO9L025mLdprX6qWdupPZBKq7SjUtNSVTTjsj1szsnJcI0y08yo + DGUupdLyhrRUTzA312DzW8fz/qAj3+M3jAd/jj0v/1lh0RAEqAFfKoIRdVWiWxTCgAYx + e7CgZYUM0ORgtsEDPCVSYpZfgesQnQkZkJUtR2w6n0HcJk8GOC22DGK3kSwmA5QBdQbx + q0k28lwIgxSjCwuTMZCNgV4va4ykJwmbcNMqyAZBsgEBMYeIAbGoMK0gn7H4kPWlKiy4 + VnkI1rGYGR8uZCWEpHCFcz9fPrt/4qTnzv146lZi/OJPZNwpXd5dlyK7ZpVfeGfn1K2x + 7/6/2F9376apyeTS2oYnvGOevb8g35+VWTT7+Jux31zvrrz3yfYl+d7cnNTyBWev/Wzr + lr8yavzbDKbFP5ZPDXV4HlwBvwqXpucSlV7t1LgCBfX6hcpFeq6MN2qUtDOfS1O69Rp3 + eQaVHSo/Xk6V56f7jXqO5V2BVKsrSnrCPqvbwwXc2WrKXaSu4CoqXGYulL4vzTHGGXJN + 0AVK7aPH/IA8hYelJ0gvDM3WxDBdHjw7PF8rB3DKSsPTgqYleyB7QLLNBmvCPAeLSyyp + QOx+UqwTwJbiFCDZaxaIkAollAAOt1UgFgEDaQxwwsrTNGGM09AOlxSPJloiL1mW29az + MWiXEXODWTLWJRYtzuiAGJAiHJ/iEhPRrmy4u7lX6Mxf2p7XSI6NsWi+teaxckG1j/3H + 8691r7L6NSmG9EyxJT1ZWfLOAztfO/lUz7uzMsfv3W5xKbRJrpwFZAmfacua3TgpvfHN + 3fX1fYNPuVJpepNGUeUL1y965dGd3zeRy5KtLo1fogfYM3gy7ob7wvkl2jrtTO2LzH4n + 6+fNlM6tB97t5kwqym1Vs9mmbH3IYHR41AGHPcXziLCy6kszWDF58DJa6wHJDBpw3ZdV + 3WFzKVVAiE2Ny5wLA7BTIqicvIhGDn/y0mX8cs2yoFdlNaAnUyShAUWFxoJPn9izds/e + NY/uJz2NuaMPPVf50rKjsc+v/prc/ckHb/3kxxf+D1VSmDKRcn8+ZufcJpL1+Z/JTNS1 + +vglxoEn1C78N8NPNOHVT/FPO1700KyW0rFmi9aos5jDmrCZDznIRPWr9DnyJn3O+SH/ + kfKi50PfJ9ZPfOpzhnNGajbPCmm6XcnutDIFxyULbhencier/dxTrhddx10fuBh/ss7v + Yu0qDWdAv8gdYB2BtGwuYLeLgV8Ie1uGbORl2T78YlD2iXARQwVsGTYQuL7JfoEMWS34 + GJbG43/CMgqPiJZeb9Kb9YxC4091pom4e3CLJMWttHIiqC1akSRpfQ4Bs1gMeJtKBPSq + EGhJGWWdlPUyPSN9A1nRAitaWgDnO2qfkPAOSgq0BB0ChbyoQIFkI9AwcIQ6drG02Ki/ + cZV9/KnHpueaj3B35E1bPXba+difie33xKMOTjj0wD6W+Ji6xXdOXTLhueffaCmuK9+e + PcWlJz78D4QiVTFxVe1DR3uI9Cc7jsnoWDn9CY6JB7Lw36nj4cnF5vH8eGUT36x8VLPf + uc+9P7A344RTHebp5NSQ9qwqFac4owi57SqjW6XL5rKzWRednZydFWIduRptIGmMGHDZ + c3JvUcRrA2US0oOXr+M8HlqTUSNleBMqmekLOlLUhjS/XvSliCIEHRgY1FoBdFpNkt+d + KpKAMySCTmMUZBSHVmKEU9ZWSUOLCnCBUgipYiDhcZUUy7M3zaCHApCdVos0t9HuEuqB + OQVFeyuWx9469Bft8aTA6G+9Gxbp4r61L8e+INxJUv39B1+v9e944MwdmbH3mKoxvnGP + 3Mj/afel3S/UByqemPGraVP+QdwkiWTH9pzuv3vXK68dnruRykI8CWzGYLS8zwqFLQiw + irVaHYQKAW1n2ANCe2Jy4rysaKjpqP4jqhvuFHBubT52TNpIyW1sxC8O/EwdiLApXM7x + nFahs/JWrVUX4AOozvX2GeoFao3Pr3K4fXYVxVj9gtvqTlJwoHC6/LRJFcR3GkL4JzXp + d4Sk/+bDON+z/QigPRCMkqSjt8hxWX9t4NrgkDDWisrKiskD6A/f3Czk5UKLqcCCnoBk + Hq03raTPIO0DFBbc3uAqluA29ocLm1esb8hMq3iu48OG9FOLJy96+rgjtHz+i8eYnL47 + 0kZXptXOaPzO9G2DJdQni6ds2zu4nTq1NH/i994dPC/ZPew3PYC6aAcHzAnnHVecU1CM + wqwImLsVXRxr1lBmm97NYjdtapWDczhAE1I6XCTbFrKD3YlLkOK2nsnTO6Fx2K8ByV2V + fHzJL8cu3dIVqQc437QE+0M2Hpx0oPPylMzj7tx14dCE0iznMfIiyj9n2jMznxucSj3f + XjEvKbmqaMXCwXdRWJxD5bhnEZgG3LXbUPbHwwV9fK/+6eQXmH38Xv3+5Ch/nv+AuaL9 + v2bNKF7htnEat1Ft5+x2CxXQOZzKgMXucEaJ8qiwcsgyJRyX4bkim6BMsDKi2qREK2Kg + RMJZkWOTkFOZNSIQPQZ8skIktBYD2c5IQQbuQtKMkqchexbJBUZ0UylcK4twVnDUbzfl + Tjr5Qm/v8/jn9I3YP34Vu0GMf1J0Ed3e3jlP3ug/eJm+FPtL7FpsMPYyybiBC2eYTeg4 + 9QTqpwHuCIsBWkwqoesYRsvrKa3SoNQEeGmIDCreYSLS2gR2oylKanBo1smGV1qUGvSS + 6k+uPDt4Vto+JDZfsqZJw5JstUgetaRemw9avr+Ytbn1Tv2jT6AanSjeTdGv09ThlYN9 + ks5UxT+gX2Umou3KIdnhb5cq+9he49PmPktfuiKY5g8UC7VCXVpdYEbazMD8tAXias3q + pNXabl9XWpe/S9ybsi/TRKPJZrOYbBM4LE6ry2bJMmcHdeqFvOgv9lP+1CQVk2Gyvely + mzjGnb0rQ53DKbV6ioMcIcfhsSXbAtYxQZELBB15Wk9APwYC2fbcvP7hdQanV8L+lemR + k7pbliM5NAlvFPcn8nRLuKGTSBYlWtD9FLQeAZT4yQpBD1QANh05txHznGabQLy6VAGE + VG0SH1AJRPQrVeiRCvidEgYpBpcgeaEJDyixWZF3LLJW3FQKaQ7Ifuitbqg8x7n/7Ycq + 0AkKkKu8v3rfvL7RgXu/vXls1y9P/H3xOOoAK455ev7CmmDDfWeqFn7066vnOHKcTJmV + O3PmXTVpuEKnpo/f0PeDbbM6R+fXNYRr0+0md05mzZPfvvDRs9Q/UZes8auUkp2FM2fa + K0nZqtNaPFepDPuZ5DIrrdCqDA40ZbgzDYFFa9HRHpqibyTjTueGsGDt0CreUnY2R1qs + EyYsRzJggxUD+sHLsmGVNqHS4c9Nn04sQj+mYN+rBw+KlrykFLNnXGDdrO3b2Vmx93cM + 1pSa1ITapuQ3LKDe2IG2mELCK96B3yb8qwstPM5/6UTOAsl4rihCAIqhWv7mYQLcIX9z + IX3ZMANmYk2CX2IkzhgVeJ4H9dUzGybNyqjvWNLd0bVwbptc4+ZbZiPTiXQ/0qNIqOz4 + VQngtyMAaCzhQ6RPkD7DZnkkG1IQqRSpHqkJqRPpfqRHkfriQxc+A8M8Ae+IdO6IdN6I + dP6IdMGINJ4z3Na+tKLd+r7qEenGEWkJhVvrzxuRlsfhFvkXjCiXvjW49Xn5m8Vb6t8z + onzZiPTKEel7R6S7pPT/AE/qvFUKZW5kc3RyZWFtCmVuZG9iagoyNyAwIG9iago2OTM3 + CmVuZG9iagoyOCAwIG9iago8PCAvVHlwZSAvRm9udERlc2NyaXB0b3IgL0FzY2VudCA3 + NzAgL0NhcEhlaWdodCA3MTcgL0Rlc2NlbnQgLTIzMCAvRmxhZ3MgMzIKL0ZvbnRCQm94 + IFstOTUxIC00ODEgMTQ0NSAxMTIyXSAvRm9udE5hbWUgL0hEV05MWitIZWx2ZXRpY2Eg + L0l0YWxpY0FuZ2xlIDAKL1N0ZW1WIDAgL01heFdpZHRoIDE1MDAgL1hIZWlnaHQgNjM3 + IC9Gb250RmlsZTIgMjYgMCBSID4+CmVuZG9iagoyOSAwIG9iagpbIDI3OCAwIDAgMCAw + IDAgMCAwIDAgMCAwIDAgMCAwIDAgMCA1NTYgNTU2IDU1NiA1NTYgNTU2IDAgMCAwIDAg + MCAwIDAgMCAwCjAgMCAwIDAgMCA3MjIgNzIyIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAw + IDAgMCA2NjcgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAKMCA1NTYgMCAwIDU1NiA1NTYg + MCA1NTYgMCAwIDAgMCAyMjIgODMzIDU1NiA1NTYgMCAwIDMzMyA1MDAgMjc4IF0KZW5k + b2JqCjEyIDAgb2JqCjw8IC9UeXBlIC9Gb250IC9TdWJ0eXBlIC9UcnVlVHlwZSAvQmFz + ZUZvbnQgL0hEV05MWitIZWx2ZXRpY2EgL0ZvbnREZXNjcmlwdG9yCjI4IDAgUiAvV2lk + dGhzIDI5IDAgUiAvRmlyc3RDaGFyIDMyIC9MYXN0Q2hhciAxMTYgL0VuY29kaW5nIC9N + YWNSb21hbkVuY29kaW5nCj4+CmVuZG9iagozMCAwIG9iagooTWFjIE9TIFggMTAuNi44 + IFF1YXJ0eiBQREZDb250ZXh0KQplbmRvYmoKMzEgMCBvYmoKKEQ6MjAxMTA5MjQwMDE3 + MzlaMDAnMDAnKQplbmRvYmoKMSAwIG9iago8PCAvUHJvZHVjZXIgMzAgMCBSIC9DcmVh + dGlvbkRhdGUgMzEgMCBSIC9Nb2REYXRlIDMxIDAgUiA+PgplbmRvYmoKeHJlZgowIDMy + CjAwMDAwMDAwMDAgNjU1MzUgZiAKMDAwMDAyMTU5MSAwMDAwMCBuIAowMDAwMDEzNTYy + IDAwMDAwIG4gCjAwMDAwMDA5NDQgMDAwMDAgbiAKMDAwMDAxMzM5OSAwMDAwMCBuIAow + MDAwMDAwMDIyIDAwMDAwIG4gCjAwMDAwMDA5MjUgMDAwMDAgbiAKMDAwMDAwMTA0OCAw + MDAwMCBuIAowMDAwMDA2MzcyIDAwMDAwIG4gCjAwMDAwMDEyMDkgMDAwMDAgbiAKMDAw + MDAwMTk5MSAwMDAwMCBuIAowMDAwMDA3MjM2IDAwMDAwIG4gCjAwMDAwMjEzMjIgMDAw + MDAgbiAKMDAwMDAxMzM2MiAwMDAwMCBuIAowMDAwMDAyMDExIDAwMDAwIG4gCjAwMDAw + MDU0OTEgMDAwMDAgbiAKMDAwMDAwNTUxMiAwMDAwMCBuIAowMDAwMDA2MzUyIDAwMDAw + IG4gCjAwMDAwMDY0MDggMDAwMDAgbiAKMDAwMDAwNzIxNiAwMDAwMCBuIAowMDAwMDA3 + MjczIDAwMDAwIG4gCjAwMDAwMTMzNDEgMDAwMDAgbiAKMDAwMDAxMzQ4MiAwMDAwMCBu + IAowMDAwMDEzNzI1IDAwMDAwIG4gCjAwMDAwMTM2MTAgMDAwMDAgbiAKMDAwMDAxMzcw + MyAwMDAwMCBuIAowMDAwMDEzODE4IDAwMDAwIG4gCjAwMDAwMjA4NDYgMDAwMDAgbiAK + MDAwMDAyMDg2NyAwMDAwMCBuIAowMDAwMDIxMDkyIDAwMDAwIG4gCjAwMDAwMjE0OTcg + MDAwMDAgbiAKMDAwMDAyMTU0OSAwMDAwMCBuIAp0cmFpbGVyCjw8IC9TaXplIDMyIC9S + b290IDIyIDAgUiAvSW5mbyAxIDAgUiAvSUQgWyA8NzljYjZlM2RmYTI0NGEwZjk1OGUz + OThjYzQ5Y2M4Y2Y+Cjw3OWNiNmUzZGZhMjQ0YTBmOTU4ZTM5OGNjNDljYzhjZj4gXSA+ + PgpzdGFydHhyZWYKMjE2NjYKJSVFT0YKMSAwIG9iago8PC9BdXRob3IgKFNoaW5qaSBL + T05PKS9DcmVhdGlvbkRhdGUgKEQ6MjAxMTA5MjQwMDEwMDBaKS9DcmVhdG9yIChPbW5p + R3JhZmZsZSBQcm9mZXNzaW9uYWwgNS4zLjIpL01vZERhdGUgKEQ6MjAxMTA5MjQwMDE3 + MDBaKS9Qcm9kdWNlciAzMCAwIFIgL1RpdGxlIChkYXRhc2VnbWVudC5ncmFmZmxlKT4+ + CmVuZG9iagp4cmVmCjEgMQowMDAwMDIyNDY0IDAwMDAwIG4gCnRyYWlsZXIKPDwvSUQg + Wzw3OWNiNmUzZGZhMjQ0YTBmOTU4ZTM5OGNjNDljYzhjZj4gPDc5Y2I2ZTNkZmEyNDRh + MGY5NThlMzk4Y2M0OWNjOGNmPl0gL0luZm8gMSAwIFIgL1ByZXYgMjE2NjYgL1Jvb3Qg + MjIgMCBSIC9TaXplIDMyPj4Kc3RhcnR4cmVmCjIyNjUyCiUlRU9GCg== + + QuickLookThumbnail + + TU0AKgAACkyAP+BP99wUAORzuAAQMAQ2HQ+IRGJROKRWLReHAKNAAIg8JgAHg4IgB/SU + AOWUSSTRiWS2XS+IAGZAAFzUABOcQuBAB0OVxgB9UGYUOiUWJAcDggABcNBudQJuN9rg + B2gplAACAQB0auV2Lwx9uYKgAcC0jgBzWmnzSbV63W+IuO5AAWXUAQV9gBwsJbAAKgoD + 3DBXByvR9AATEElU9/s1oskAAEOtwAA4IAvB5miP3OABxMt/gAhDcogBs6cABDVAANa3 + Na+iMfZAAZ7UAPHcTxkLwAC0QBzYcGXMhtQoSD8k4xkMthgADCNxZXLgBqs9vAAFAylA + gEAUAPJ4PYACQVcBpsxu34LhAAAkGYF5vF7w7Q0kDQt/aF8vjD9mlM4fwAHqeZ8AABgH + ASACku8dp1Hi8YUg6ADOH6ABvGOvIjB2KrqGqaqbpyDcRAAbESsimYBxStbWg0ABqReA + AQxkAB8RrE4AwEep6sqBwHKAoS8QMBgGJVAJ8yO5wDPuBMmAAuSfhhKKIGHKgABtK4AH + dLQAHSZJegAGARg+vRzHQAB4HmegAAoCAHgAecdL8CSRnOdZ2puCEfH4fkAgCASGzg8Q + IgaBsaKEex8Hy8YOxabxynOyqbIYh51neeEwBQEspmqbYABKIDFoGf7lua57osszBnmO + bAAH4fsAnwesCncdp5gAGgdhSABuGsn56no+YJArNx3nZWwEsAAFinkAAXhuEyeHLO4J + 2HA0EAAbpsHJCdXVbPgAAsDKRheG1oQpC0MABDUOQ9D6cI/ESnGfeaIXaAAJXwpYLguA + B1X8AEUq3GsCyPRUZBCABv4UAAM4aAGCxjGZ7YmAB2Ysu6DHvjQAALjoACTkEpyrK4bS + zLcuy/MMxmubyFUSw5omyygOgzfkcIaBoFgVip3weeh7PmBQEqUB4GMxStL6GwICqyxA + POAdB2ndjmmnZSy9HOdTWAqj4kh6HFN07T9QoHUjnOg6TMLg8EdgOBL7qQ7zhIfc8Lwz + DcO3dEMRrgeG/PbJqkMDuaJSo5uSZNqeUTBMTBHvRK7z2yujcJwtOU9UDGGSZhiYAEBv + gABG38r0iGp2zxmQCJAeisABrde1LVgp2fS9qhpkdwAAdd3ZR3netBjF2AEIgz23amOb + PQBWIonMYcJxIUXpiFnip2nYtfjLgAU/TWCoKTAFWSheFgaAAdfzgAX31Kods7pLAPsr + emUcSHIgVfu2jbR1HZfFqWQABzjmHKq0fY/H4mZO4UoCxTQABBCQ2QgSe4DDkgojRGyk + 4DldfmgopC4ALAWLYZhc8FFtsDSLBkwTHTvOze+ao9i5x0QxAAPSGkJ4UFvYCABQihYP + wgVEOCIAAGNHzh3DczJDGLPXBjEsnkMhnjoFcSACbaojFwg2PUcxSghg1Q4kEacXwAAY + jE9iKpXINkogHEsGJjIvjTAAB2ODsT2RlLeucZcd0rJYG3HtLIDBjF+XFHQzI3RlHiCE + DNDg85FQAHOpAFcj5BGDjuMs8YJASGMGZJmSsl4XEUG5J8vwFSxo9R87534EZUPmfQkF + FhEH3gAI0n8h5uEHydhIAADkuSKLnGLL0AAO5gOudgPMCIzFwAaJGRMc45HrlZK2BQ9Z + tx3pqAeBFIg7h1oPIKhUpgEpXEmliWuV4+B7mHHaOlB4HwSPFIuNcYqlwjA2Cy7138MU + zJRBgRSW5WQCL6X5KYjkqYNjXoIAAEFB3QncjIQ2DZDJFK2KCYeflAZkkSGJReTZjJJq + eBKpqTpExf0hoSUqT5lB5UnYiwiVsbaRpOLnJ2V8CUJmdCzTVvIAI1S7M7L0Ysv5gr2H + oBIZsx6KkRVUqxGpeT9mHHENxSAAytU4ByChLM2TTDTHCdgBZSh9D5LyBMCybh3DsWYC + YFgHjsLJHSOZ34RQoA3IxO6eE8nEpcHSOlMCUiJmyGPBZAst6ZRJAAGmwgABV2HYqxde + JJyUyvf2AAGtkZVDrpxEygDiCJuGo4ppUVG6O0eNWUSDFDCZkMleOK1FKTXy8l9MAHdN + 6g1DgXUUl9o0bziJMOYcT1wOghX4Q8hkGyu1yXVXRLTiq715nzaJ05DqG3NIbcdgCKpO + 3BJmV2zVn5MSaktJcB935IleleMa8juneOvGsm+YsgI53hLcNgYyD54zzoBPZZoLwX3u + LfRdzoJr/GMYU6CTMxrH22v0RSDd303WLBpg2JqZhbjDFOABRCaiSmhwOS5oSCQPgZqo + EEHRi2JniF5iVHKO1z4ZJbCpwCCQiYvMYkF9qd8R4qKGkxBMqCR0ySDdLGuBsbETxYu/ + FtM0Ky0O/SjIGQSIzhiLgoxg5XoPmGnJQBIBz75MJbhhh4C5vAvByD19id4gEKfrlols + G4aJqpyucXwzhUHtAen/JeaLSI4HyPErYQAZBTMYNQZ9QwOD2rwnlQudiLrnGYOs8QNQ + hhIABHtTsRZW6IJaMnTAAAZabTfIsZw5ooggBU9/SxLBtDNsoDoEqHFRDMGRH8Eg/VLz + OTePU8QGXvMYgMx2fpDxwKPoMBudhQyCwGH0QZnSCbTEmhyROXg42pg7CUE+YV6V8Tes + WN3bQAARbdyMVhpuvog0HBAUWCW33BW4QDs0i1mnEUAGcOcV5iAXvFHOON66wkfAGAOd + 6V8ObdWUAyB179wiW7FW4hWDZ9lvIV1oRcaYxSfg51XRoY9PQSj+QeN/YB+TQvJOiAxo + RHAHKFBwC4FYABXC9GCvckKNB8mHuuPEemKFXnOY8CHYRawI8lAANMbZ6WlMAAEVuAsB + gaArBOuBOYAOJbR2nTfIli6N2oOikHa4AH7gq6dL5iF2tJEgvAueiNquy4NfKL3tVt0g + 5IC/29kTh0sXSieLDejxRrDQdAOoczU0/I4HWOh34QAkgz0iNU6I7arAVAwSPwLvx+rf + H9zcDwIwMJnHcrYEIJinAEAKVsbw2IBj0HmeJVEBEKgsBmCNcAGZvDSGIT8HQJtWEDGX + xdT3GmqT9Hukhn58wEZYtuBcCc3hvjkHMe34Jnhz14w75fXkQnINCKUVorex6vptLQOp + 65oTQmAKVV4w4FQI3tIb0+X/UV7dTRHmVHiPmISvx1XavCSj732jF5eItD60s7omom3S + X8a0XOAVAKwoYosWIe3c7mS27q7ugAmYWsZ2HynKQEWA9am8WWlgAGRwHuHqUUy4AkAo + R8nIMOAgAkSIHsHoQKwuOc36iEHsUVBaAUAWPuq6gM30umK29g9k9okw1ePG1kNS581K + p0Qq/Q2k2ovQXuXzATCK3aZGSw3g3lAfCeItB4d1B8VEGqGmGkYSGSGEKAP2J0tIy0dO + uuSGUKASA0rQB0CECMM8tSGlDmhOle4M0s3S3SB9D206VsFmGCFCVaACPEXPDuyYIYxY + KAKUCgCGDAMYYgkaUg/4zqyCg2zOX2X5ALAmSQrurwyREozQpkYaeKzOxkfazGhtCsY4 + Y8k6yIVEuAJ3FA0sg3ENFg+8uhFUyaI2ImIZFlCfFoJmICAADgEAAAMAAAABAE8AAAEB + AAMAAAABABoAAAECAAMAAAAEAAAK+gEDAAMAAAABAAUAAAEGAAMAAAABAAIAAAERAAQA + AAABAAAACAESAAMAAAABAAEAAAEVAAMAAAABAAQAAAEWAAMAAAABABoAAAEXAAQAAAAB + AAAKRAEcAAMAAAABAAEAAAE9AAMAAAABAAIAAAFSAAMAAAABAAEAAAFTAAMAAAAEAAAL + AgAAAAAACAAIAAgACAABAAEAAQAB + + ReadOnly + NO + RowAlign + 1 + RowSpacing + 36 + SheetTitle + Canvas 1 + SmartAlignmentGuidesActive + YES + SmartDistanceGuidesActive + YES + UniqueID + 1 + UseEntirePage + + VPages + 1 + WindowInfo + + CurrentSheet + 0 + ExpandedCanvases + + + name + Canvas 1 + + + Frame + {{655, 201}, {693, 937}} + ListView + + OutlineWidth + 142 + RightSidebar + + ShowRuler + + Sidebar + + SidebarWidth + 120 + VisibleRegion + {{0, 0}, {558, 783}} + Zoom + 1 + ZoomValues + + + Canvas 1 + 1 + 1 + + + + saveQuickLookFiles + YES + + diff -r 21684fe357d9 -r 4b0a368cc858 presentation/fig/pipeline.jpg Binary file presentation/fig/pipeline.jpg has changed diff -r 21684fe357d9 -r 4b0a368cc858 presentation/fig/reconnection.graffle --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/presentation/fig/reconnection.graffle Mon Sep 26 10:38:35 2011 +0900 @@ -0,0 +1,1771 @@ + + + + + ActiveLayerIndex + 0 + ApplicationVersion + + com.omnigroup.OmniGrafflePro + 138.28.0.154505 + + AutoAdjust + + BackgroundGraphic + + Bounds + {{0, 0}, {559, 783}} + Class + SolidGraphic + ID + 2 + Style + + shadow + + Draws + NO + + stroke + + Draws + NO + + + + CanvasOrigin + {0, 0} + ColumnAlign + 1 + ColumnSpacing + 36 + CreationDate + 2011-09-24 09:10:15 +0900 + Creator + Shinji KONO + DisplayScale + 1.000 cm = 1.000 cm + GraphDocumentVersion + 6 + GraphicsList + + + Bounds + {{160.948, 112.62}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 19 + Line + + ID + 17 + Position + 0.47052681446075439 + RotationType + 0 + + Shape + Rectangle + Style + + fill + + Color + + b + 0.704983 + g + 0.781895 + r + 1 + + + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Scheduler} + VerticalPad + 0 + + + + Class + LineGraphic + Head + + ID + 12 + + ID + 18 + Points + + {138.574, 180.444} + {185.5, 180.444} + + Style + + stroke + + HeadArrow + FilledArrow + TailArrow + 0 + + + Tail + + ID + 15 + + + + Class + LineGraphic + Head + + ID + 15 + + ID + 17 + Points + + {322.935, 99.5039} + {131.456, 168.941} + + Style + + stroke + + Color + + b + 0.0399913 + g + 0.13784 + r + 1 + + HeadArrow + FilledArrow + TailArrow + 0 + + + Tail + + ID + 7 + + + + Bounds + {{61.391, 160.889}, {76.683, 39.1111}} + Class + ShapedGraphic + ID + 15 + Shape + Circle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Input Interface} + VerticalPad + 0 + + + + Bounds + {{377.707, 160.889}, {76.683, 39.1111}} + Class + ShapedGraphic + ID + 14 + Shape + Circle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Output Interface} + VerticalPad + 0 + + + + AllowLabelDrop + + Class + LineGraphic + Head + + ID + 14 + + ID + 13 + Points + + {330.281, 180.444} + {377.207, 180.444} + + Style + + stroke + + Cap + 0 + HeadArrow + FilledArrow + Join + 0 + LineType + 1 + TailArrow + 0 + + + Tail + + ID + 12 + + + + Bounds + {{186, 160.889}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 12 + Shape + Rectangle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Code Segment} + VerticalPad + 0 + + + + Bounds + {{316.317, 68.4444}, {76.683, 39.1111}} + Class + ShapedGraphic + ID + 7 + Shape + Circle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Output Interface} + VerticalPad + 0 + + + + Bounds + {{-0.0001297, 68.4444}, {76.683, 39.1111}} + Class + ShapedGraphic + ID + 6 + Shape + Circle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Input Interface} + VerticalPad + 0 + + + + AllowLabelDrop + + Class + LineGraphic + Head + + ID + 7 + + ID + 5 + Points + + {268.891, 88.0543} + {315.817, 88.0895} + + Style + + stroke + + Cap + 0 + HeadArrow + FilledArrow + Join + 0 + LineType + 1 + TailArrow + 0 + + + Tail + + ID + 3 + + + + AllowLabelDrop + + Class + LineGraphic + Head + + ID + 3 + + ID + 4 + Points + + {77.1825, 88.0895} + {124.11, 88.1977} + + Style + + stroke + + Cap + 0 + HeadArrow + FilledArrow + Join + 0 + LineType + 1 + TailArrow + 0 + + + Tail + + ID + 6 + + + + Bounds + {{124.61, 68.4444}, {143.781, 39.1111}} + Class + ShapedGraphic + ID + 3 + Shape + Rectangle + Style + + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc + +\f0\fs24 \cf0 Code Segment} + VerticalPad + 0 + + + + GridInfo + + GuidesLocked + NO + GuidesVisible + YES + HPages + 1 + ImageCounter + 1 + KeepToScale + + Layers + + + Lock + NO + Name + Layer 1 + Print + YES + View + YES + + + LayoutInfo + + Animate + NO + circoMinDist + 18 + circoSeparation + 0.0 + layoutEngine + dot + neatoSeparation + 0.0 + twopiSeparation + 0.0 + + LinksVisible + NO + MagnetsVisible + NO + MasterSheets + + ModificationDate + 2011-09-24 09:58:23 +0900 + Modifier + Shinji KONO + NotesVisible + NO + Orientation + 2 + OriginVisible + NO + PageBreaks + YES + PrintInfo + + NSBottomMargin + + float + 41 + + NSLeftMargin + + float + 18 + + NSPaperSize + + coded + BAtzdHJlYW10eXBlZIHoA4QBQISEhAdOU1ZhbHVlAISECE5TT2JqZWN0AIWEASqEhAx7X05TU2l6ZT1mZn2WgVMCgUoDhg== + + NSRightMargin + + float + 18 + + NSTopMargin + + float + 18 + + + PrintOnePage + + QuickLookPreview + + JVBERi0xLjMKJcTl8uXrp/Og0MTGCjUgMCBvYmoKPDwgL0xlbmd0aCA2IDAgUiAvRmls + dGVyIC9GbGF0ZURlY29kZSA+PgpzdHJlYW0KeAHNWMtOXEcQ3fdX9BIWNP1+bEMSyd4k + DkhZRFlYE4ixwNgY8v051ber+s5wB0eKFMUIMa7p6nqe6qr6ot/pL9riJ6WmSw368Vr/ + qj/p84uvTu++atd/vu70mTVJ0+/q4I0+//n6cXf9+en5/Z16vMVVLud+XfbauWCy0zk1 + k1LKenevz9/cO/39QxfaGh88c85Ya12Qs2o561+eDTaZ4IqcHLcGPrmWX6BsLsY5N+7b + kB1yNsUWPjiui3zdVDJZE5rjc+PCxOfWYmMzLdaks4OqpdV9w89/ub57/3T71/XFw93D + 4+399dPj7Y5853zs7irJxBiTdjGYUp0OjSywlSJzg2i8xe/HJT4Xl92FVl9ekOv7f87o + DwJJGvKVlW6MmzdeIhaItadYW404r+5xLZtkLSxpqQeI7vzuCtcOSV6fRWusT6HpqK8Q + 3x8RSShydaN/0ycXp5Q1Xp888Ic/+MP1qVq+0qdIKhy5vOav/uQP90L6xKSnU/27vnqr + f7iC2hausHDFF7VvOfm7IOzVJ12rsRXR0HCGi8Y7orgSa9F3etje85wgQPYr5z0dyy2a + anMRxtxAKwaXOrD2y2ygc45pH+CYPV51j4Ct/NnjQtqNc6RKC2EtoibRTkRAQtdY3WkR + MVnJiC0ZPldTkbDkgRR9hhTCTnMlLF7xtuFGYg8AaqeT0c25Jmdb7kbjywajF37YT0YP + 2gfhVivubbNFDulUK1DHOkEOLLcVOq3kKIhh2pSzaL9wk/au/yBzM4CTPCJbLEz3UPle + V2tgu/eqWHiR3DEIOkOJakERtlzJ0R5Q0AB7LGR8CSaFFLTPxiPcUSgKNcv7BmkrtjMg + NoZY67x9klgDYWQ11W5eX1w2MSNfWQMhiJrMBjVnOTjMAELq5IhkF1A7/aFhK6y3fjoE + sXfNVhjNfnTwGfxYoSCr42wj/crUWChiF7NBwWk9364mSVQQzsKKrjyC+owIuCwqMEGJ + niu2AenNchaqCdEFRGyUM4IiyhkKEV4fr652e5UNoAgxAi1n3jT65w8q3MmbT5+fn1DA + rj4uBWkVhBeyNkqnJwE5VxQTUsCibB5W0DejOq4LIMqlOpHS+HgzjrznGrnDd7NIvnjH + A9xeJkZQowLKPNI2ADcLRoQgGBlMq1Tv72ZDfRSIhOhNAkjUpPhiEnJlzeZwqAQkGcMv + MEWkDy7Oc2QfX60FDSJ+Uvbt6uhYBUTeRLafU21lvySkOICzVg+umdpKNBAAiJaTMkyZ + bKiWwwHz6kFRUzx7gHVceYCzX4v8SRkeGFzw26toSGg3ErUpm2jQh2hAyXaoIhMNaj9b + T356fjoOhyPC1p3ECzgcCEBDcQQO+ptwUNwzvICDQ8FLdUH4ZoP08inrnRXYXLam1rbJ + 9ZrnfUIb0aitssiFlOJGbfiftlUhoPqgJ3X0jFKTCvSgww54ygcpbvVVCq9zQF9L54bR + vR8ZrBl9SZ8Q0GIMUmpjaKCe55D1aF/FB0WXlXroCHsTPGW4Gjqp91UbrHvNRUQ3jkco + 430OtfdsMaF9CwEtAdqNgkdRM0UlVNSQ4Z7BlVDsii8YgXT04KqomwloxQOcdbTUfdkw + KQHHG94pZqMKiEakZdRNuVooIp7ZREdI47szhgtLxVnkM4V1XHEdbyvoxRw2YeLBuJIL + gsF2a7SpBsMAbGLfwFSTQxJPOJQ9X3xce8Lj2Wm2RSXaMgVld/HEik3slqsnhcUPNsU6 + kjT2hINqrbQyIzEpS4xXXAxjtTEdRaSC7XPegPF+O/GtAlrTQX17tYAeE/ZaAUVH8w/7 + iX9VQNEaVwyXkj8YbyL6RuTCRIZQOH0xZi1snOMIEaZcU/ssztCo6B9a9CuwFG8yhoQm + 2NA7hXKKjhT448u1UAQbwidq7rTczlBATz80YAoawgPrqOk+bCuWkW45yMkDWIjRAotJ + YVjw/asEFyUYBUoUZYoWc1Z8YrQAY1IGMNTkG+iF2+V2wYFoMCnDDWwdvM7Q2FocoHiX + gOmbH7hvIOOg0X6JjFca7SOi/nNcYAWB16DUiJEK80TAvIDYbi1myBkBi4IWktf0zNmA + LuxeObz5JSPZHQablLEw299QHJGApxWvkPHRA4KRdllu5F6pqLtEw2/fWWCTRKD0eMOp + Le/rhANWPOg3c7GytVIaDA7rBd88zdiU1V0W0WALjfBukeWyh0jMz2tZzAo1lyd22Wgt + G5iNTVaHFwaqBOVXjYdDQ4EmbOlE4lhl8BoHdCwfOQGp2ViOr1qNhbDXaHQmtepQjvui + K9VlsAYiw0mroVjHpdFYvHDItPgAsa+uNlqxFhtbhWcpsmgxl11iwLohY9twuBVUe1vB + zcrEdzgMGgg+FrMbm0VooY7tAX3wpmLFl7EFqD6GAec9jBWMByXV1RoQW7llDXi5+8Cz + qYytsgh85q/u+IOceZQ5Vr3T7/4GLIedVQplbmRzdHJlYW0KZW5kb2JqCjYgMCBvYmoK + MTgzNAplbmRvYmoKMyAwIG9iago8PCAvVHlwZSAvUGFnZSAvUGFyZW50IDQgMCBSIC9S + ZXNvdXJjZXMgNyAwIFIgL0NvbnRlbnRzIDUgMCBSIC9NZWRpYUJveCBbMCAwIDU1OSA3 + ODNdCj4+CmVuZG9iago3IDAgb2JqCjw8IC9Qcm9jU2V0IFsgL1BERiAvVGV4dCAvSW1h + Z2VCIC9JbWFnZUMgL0ltYWdlSSBdIC9Db2xvclNwYWNlIDw8IC9DczIgMTkgMCBSCi9D + czEgOCAwIFIgPj4gL0ZvbnQgPDwgL0YxLjAgMjAgMCBSID4+IC9YT2JqZWN0IDw8IC9J + bTUgMTcgMCBSIC9JbTEgOSAwIFIKL0ltMyAxMyAwIFIgL0ltNCAxNSAwIFIgL0ltMiAx + MSAwIFIgPj4gPj4KZW5kb2JqCjE3IDAgb2JqCjw8IC9MZW5ndGggMTggMCBSIC9UeXBl + IC9YT2JqZWN0IC9TdWJ0eXBlIC9JbWFnZSAvV2lkdGggMTk4IC9IZWlnaHQgMTI0IC9J + bnRlcnBvbGF0ZQp0cnVlIC9Db2xvclNwYWNlIDIxIDAgUiAvSW50ZW50IC9QZXJjZXB0 + dWFsIC9TTWFzayAyMiAwIFIgL0JpdHNQZXJDb21wb25lbnQKOCAvRmlsdGVyIC9GbGF0 + ZURlY29kZSA+PgpzdHJlYW0KeAHt0DEBAAAAwqD1T20MH4hAYcCAAQMGDBgwYMCAAQMG + DBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCA + AQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgw + YMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMG + DBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCA + AQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgw + YMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQPP + gQEfxwABCmVuZHN0cmVhbQplbmRvYmoKMTggMCBvYmoKMzQ1CmVuZG9iago5IDAgb2Jq + Cjw8IC9MZW5ndGggMTAgMCBSIC9UeXBlIC9YT2JqZWN0IC9TdWJ0eXBlIC9JbWFnZSAv + V2lkdGggMzMyIC9IZWlnaHQgMTI0IC9JbnRlcnBvbGF0ZQp0cnVlIC9Db2xvclNwYWNl + IDIxIDAgUiAvSW50ZW50IC9QZXJjZXB0dWFsIC9TTWFzayAyNCAwIFIgL0JpdHNQZXJD + b21wb25lbnQKOCAvRmlsdGVyIC9GbGF0ZURlY29kZSA+PgpzdHJlYW0KeAHt0AENAAAA + wqD3T20ON4hAYcCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMG + DBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCA + AQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgw + YMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMG + DBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCA + AQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgw + YMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMG + DBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCA + AQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgw + YMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMG + DBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMG/gYG4n8AAQplbmRzdHJl + YW0KZW5kb2JqCjEwIDAgb2JqCjU2MgplbmRvYmoKMTMgMCBvYmoKPDwgL0xlbmd0aCAx + NCAwIFIgL1R5cGUgL1hPYmplY3QgL1N1YnR5cGUgL0ltYWdlIC9XaWR0aCAxOTggL0hl + aWdodCAxMjQgL0ludGVycG9sYXRlCnRydWUgL0NvbG9yU3BhY2UgMjEgMCBSIC9JbnRl + bnQgL1BlcmNlcHR1YWwgL1NNYXNrIDI2IDAgUiAvQml0c1BlckNvbXBvbmVudAo4IC9G + aWx0ZXIgL0ZsYXRlRGVjb2RlID4+CnN0cmVhbQp4Ae3QMQEAAADCoPVPbQwfiEBhwIAB + AwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBg + wIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYM + GDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIAB + AwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBg + wIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYM + GDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIABAwYMGDBgwIAB + AwYMGDBgwIABA8+BAR/HAAEKZW5kc3RyZWFtCmVuZG9iagoxNCAwIG9iagozNDUKZW5k + b2JqCjE1IDAgb2JqCjw8IC9MZW5ndGggMTYgMCBSIC9UeXBlIC9YT2JqZWN0IC9TdWJ0 + eXBlIC9JbWFnZSAvV2lkdGggMTk4IC9IZWlnaHQgMTI0IC9JbnRlcnBvbGF0ZQp0cnVl + IC9Db2xvclNwYWNlIDIxIDAgUiAvSW50ZW50IC9QZXJjZXB0dWFsIC9TTWFzayAyOCAw + IFIgL0JpdHNQZXJDb21wb25lbnQKOCAvRmlsdGVyIC9GbGF0ZURlY29kZSA+PgpzdHJl + YW0KeAHt0DEBAAAAwqD1T20MH4hAYcCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCA + AQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgw + YMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMG + DBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCA + AQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgw + YMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMG + DBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQMGDBgwYMCAAQPPgQEfxwABCmVuZHN0cmVh + bQplbmRvYmoKMTYgMCBvYmoKMzQ1CmVuZG9iagoxMSAwIG9iago8PCAvTGVuZ3RoIDEy + IDAgUiAvVHlwZSAvWE9iamVjdCAvU3VidHlwZSAvSW1hZ2UgL1dpZHRoIDE5OCAvSGVp + Z2h0IDEyNCAvSW50ZXJwb2xhdGUKdHJ1ZSAvQ29sb3JTcGFjZSAyMSAwIFIgL0ludGVu + dCAvUGVyY2VwdHVhbCAvU01hc2sgMzAgMCBSIC9CaXRzUGVyQ29tcG9uZW50CjggL0Zp + bHRlciAvRmxhdGVEZWNvZGUgPj4Kc3RyZWFtCngB7dAxAQAAAMKg9U9tDB+IQGHAgAED + BgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDA + gAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwY + MGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAED + BgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDA + gAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwY + MGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAED + BgwYMGDAgAEDz4EBH8cAAQplbmRzdHJlYW0KZW5kb2JqCjEyIDAgb2JqCjM0NQplbmRv + YmoKMjYgMCBvYmoKPDwgL0xlbmd0aCAyNyAwIFIgL1R5cGUgL1hPYmplY3QgL1N1YnR5 + cGUgL0ltYWdlIC9XaWR0aCAxOTggL0hlaWdodCAxMjQgL0NvbG9yU3BhY2UKL0Rldmlj + ZUdyYXkgL0ludGVycG9sYXRlIHRydWUgL0JpdHNQZXJDb21wb25lbnQgOCAvRmlsdGVy + IC9GbGF0ZURlY29kZSA+PgpzdHJlYW0KeAHtnPdbVNmyhp17z5gVyTlLzjnnnIOABMk5 + 5yxNjooEyRkUATOGcWbOPc/51+5Xa+3d3dANAqOeuc91/aCd6K53f1Vr7b1XVV269HP8 + PAI/j8DPI/D/8gj8cnT83zkGzO7/On2wz/w9kcg0bvx/Y/wD41clg17H2wIl/uTvAyPa + T8Yzyy9fvnzlypWrigOvXrl8mX1GRvOfZxEABPthPFl+7dr169dv8HFTOoQXrl+/do0+ + BByiAQwT5j+mCvcgAMAYbv81sv3mzVu3bmOoqNzBUBUHPVFRUaF3bt28ic8xGs4CJyOU + H07CNWAEDIDsZ9bDdFVVNTV1dQ0MTQwtPughvaShrqYGsDuEcwsw10kYkkUQ5ceRCCJA + A/IgDoBjf0eVGa+ppa2traOrq4ehz4YB/49e0NXV0dHW1tICkTpoCEZAYSRMkx8BwhC4 + CFcYAdyHDj+OvBaMh+UGhoaGRsYmGKampmbiwGN6ydjIyNDQQB88RMNYgAJVSBRO8t19 + S4ZAIsCJiEBNXUNTW1uXzCfjTc3Mze9aWFpaWllZW9vIDWtrKytLSwuLu+bmZqbAMTTU + Jxbook6iEMmPAOEMcCQgXEcYEIGGhhYBGBoam5iam8N4GG5rZ2/v4Ojo5OTk7OzsIgxn + Zzx3cnSwt7e3tbGxtrIEjZmJiZGhAaEwEvIuSCIq8sv3WExoTaP56LIUQZ0RGADAzPyu + pZWNjR2Md3J2cXVzd/fw9PTy8vL29hGHt7c3XvD0cHd3c3UBkYO9na0NWIBiTC5GJGqq + KgIIop2mrW8MIsqAcIYjkQrqmto60AAEBGBr70Dmw3gvb19fP/+AgMCg4ODgkJBQjDD6 + JyQkJDg4KCgwIMDf19fHy8vD3Q0wjvZ2NtaWd81NTUgTGcj3EETKQDJIEQyMoAEI7OwB + 4O4B8/0CAoKCQ8LCwiMjo6JjYmJi4+LixREXFxsbExMdFRkZER4WGhwcGODn6w0WVxfI + wkigiT4HuX2LeRYX5FvpwXyJXAky3FZBLGjp6AEBUWBj5+Dk4uYOAP8AmB8eGRUTExef + kJSUnJJ6715aenp6hjjwOC3tXmpqSnJSYnx8bEx0ZARgwOLj5eHmAlHgXuamHATRDs9C + hHw7DjmGm/AkzEccwcrG3tHZ1d3Lxy8gKCQsIgrmJyalpKal38/Mys55kJuXl19QUFBY + WESjsLAQT/Lz8nJzcrIz72ek30tNTkqIA0s4UPx9GYm9rTUD0dPR0lC7Q4J8Kw5yJsQ0 + 6QAGJoMhQgEITi7unt5+AcGh4ZExcQlJKfdgfs4DmF5UXFJaVl5RWVlVXV0jN6qrKisr + ysvKSoqLCgqAk5WZnpaanBgfExURBhIfL3dXJwcCMTEygGepq6qIHBTnf+Hk9ziDti55 + kiVD8PLxDwoBQXwiADKzH+QVFBaXllVUVtfU1tc3NjU1t7RitLW1tbe34982PGlpbmpq + bKivq6mpqiwvKykilsyMtNSk+NhokAT4Eoi9rdVdM2NDPXkOzFcXDw9yJuhw5ep1pgNc + yRgy2Do4u3kCITQiOi4x+V5GZk5eQVFJWUVVTR2sb2lt6+jo7O5+2NMjkfT29vbxgUcS + iaTnYXd3V2dHO/E01NdUV5aXFhfkPci6TyQxkRzExcneBoIY6ulAD/jVtauX2bx7MTkE + IcBwg3yJMVhABlcPbyBAhKTUdCIoLgVAfUNTSxusfyiR9PX3Dw4NDY+MjI6Ojo2NjdPA + /3g2OjI8NDQ40N/XK+np7gJMc2N9bXVlWXEhSDLuJSfEMhBPN2eBg/RAnLPwuJgcBMED + 4paKqgZ8ydiMXMnNyzcgJCKaELIe5BeBoLaeALoeSnr7B2D86NjExKPHk5NPnkxhTEsH + PXsyOfn40cTE+Ojo8NBgfx9YOttbmxrqiKQgL/t+WnICFAny83Z3cbBlemhTnCPMLygH + j2rmTKrqWjr6jMHZ3csvKCwyNpEQCorLKmtA0N7ZDYDBYZgP659MTc/Mzj6dm5+fX1hc + XFwSBx4vLODFuaezszNTU5OTjwAzPDTQJ3nY1QGS2qrykoK8nPv3kuKjw4MDfDxcHEUO + CvNrmHUpOs4X5ASBE6ZrN5gQeoam8CVnd2//4PDo+OS0zJx8INQ2NLd2dEv6BoZGxiYe + T5L5MB6GL6+srq6ura9vHB3r62trq6sry0tLiwtEMz01+ZhQBvslDzvbWxrrqgGSm5We + mhgTGRLgyzmMDXS11EU5zudVojchIiCErqGJubW9EzFExCSkZmTnFZVW1jQ0t3U+7O0f + Gh2fmHwyPfsUh34J1sP0za3t7e2dnWfPnh8bz57t4J2tzY2N9TWiAQt0gSqjwwN9Pd0d + rY11VWXF+TmZ95LiIkOJw8HGwtRIT1sD0UGLB51cnVkOQLA1AlMTIkLfyMzSFvFADImp + 9yFDeXV9ExD6BoZHGcHcPB3+dTJ/B6bvvnixt7e3v39w8FJ+HBwc7O/jjRe7z58Tziax + EMpTIhkbGeqXdHe0NNRUlhbkZqYxDh93Z3trcxMDXU21O8yrzoHBIa5chTepaUKIuzYO + Lp6+weHE8KCgtLK2EY7UOzA8NjE5BR9aWl5d29gk+3dh/T5Mf/Xq9RsabzHeCQMP2Wuv + X78C2gFwALOzvbWxvroCktnpJ4/HR4f6e7ramuqryorysogjxN/LzcnW0gyrB+Rgc+6Z + 1WBKICRu31HX1jM0s7RzcvcJCItO4Ax1TW1dEjjSxOT0LIIAEmxtAwD2w3xYD8PfYxwe + fvjw4aP8wPPDw0O89e4dgAgGLC9Ils11aAJJADIy0AtB6qs5R0xEsK+nC+Qw1he86vJZ + Y1wKQd5kjIhw8fILjoxPyciBDvXN7d29gyOkwvzi8io0ePb8BQGQ/TAftsPyT58+ff78 + m+L4/Pnzp094HzxEw1gOiASarK0AZHpyggTpaG2oKSvKzUxNiA4L8HZzsrEwMdTVZMFx + Rgw5CB0DEwsbJzfvwLCYpLTs/JLKuuaO7r6h0UdPZuYIYWsbGuyTAgA4ZOZz4798+R3j + D4WBF798+UJ0jIZYIMurl0Sys81AZqcejw/393S2NlSXFeRkpMRFBvt6ONvBqxAcKojx + M2GwtY7cSVVDx9AU3uThCyFSM3OLK2qb2sEw9hgywJEYwgE0eEsK4PDj4JP1zPQ/Mf6p + OOhl9j7RMBboQqLAv/YZyOryAgkCDvhVVUl+Vloi5PBysbcyN9LTkmJ8ZaI6BmHv4ukf + Gp2Yll1QVt3Y1t1LDE8Xltc2tp/t7hEC04ABcPuZ8f9z+gAdpwELqcJI3kISAtlcX1ma + m+EcTbUVRQ8ykmPDA73dHKylGDThnopB0xNuDnAlzCwREgFhsckZucWVdS2dksFRMCyu + rG/uPBcQ4EUkAQlwxP5/nTJERGLB3wkk8C6AHOztPtveWF2an5kcH+rrbmuoLs3PTI2H + V7k72pgbMzWwjP/j9GWDIC6LEFb2rt6BEfCm/NLqxvaH/SOPoMPK+hZkePkKKsCNPssI + uHHKrP+3shcFFqAIJJ8+EshrEoQ45qYnxwYlHc215YU5aYlRwHCygRoIcTobOVUM5k9X + r9+6o6FtYGoJiKDI+HvZBeW1zZ2SofHJ2XlieLH/8vXb94ciAmnATFK09d/CUHxHeIWj + ME1IEoAgRiAIcSw+nXo00t/dWl9Z/CA9KTqEY9BMdYNF+Mk+xfzp2k0VdW0DEw6RkJZT + WFHf2t0/+nh6fmmNMTAZ4EjwIyCcrMG/RIpTYdjfM0kAgiA5FDlWFmaZHI3VJXkZSTHA + cLQ2N9TRUL11/eppPiX6k5qWnomFnQuUAERxZUN7z+DY5Ozi6sbO7v5LgYFiQUBQdqiP + ARx7euwv5EBIkMP30OPFs621pbmpieHezqaa0rz7DMPBytRAW/3OzVN96hdcUMCfVDV0 + je7aOnshJgBR1dghGZqYmlta336+RwwfP3EZuCMdM+iYuSc8PfZHeMpBECMQhHPs7+5s + rCzMPB7t72quKQNGdLCvq72lib622qk+JfqThrahuY2TZ0B4/D0G0Tv8aHp+ZWPnxQHi + 4YPIwH5XwZwTzFb+8tG/pi+kEOEc79683HsOOWYnR/u7W6BGRlJUsLeL3V1jRDj3KeWR + waS4cVtNS9/E0sHdLyw2NbuosrGjd+QxvGmLhMC09JmFA4uGI0Yot/P0V498AZ4wDkxa + jOP921f7u9sbS0+fjDGM3PSEyABPTFQIDZWb1y7/qny2FaS4Q/5k5+ITHJOSWVDZwCCW + 1rZ391+/PQTDFzEcjphwurWnvHvkW+Q4fvv88QPJsbOxPEcYzTXFOffiw/zcHeBTWjRP + nTDbilJoG5hZO3kGRibezy+vb5cMP55FSLxgQtDqwEP62K+fYucZ3pL/Mu5Xf/xB4fEe + GM82VuaejPZ3NlUVZafGBPu42N410tVAgF/+h9IFHBSXr928o6FnbGHv5hsWn5ZbWtva + M/RodpFDIKoxL7GYlv/ZM1j5tY/If52gB9wKchy+fbX3HGpMjvZ1NFQU3E+CTzlamZ4m + BpZtrNpqkMLGySs4OiWrqKq5e3BiemGNKcEiQokQXzPxTO8rcNCS/uU3LB6voMbS7ONh + SVtdaW5aXJivqx2JoYKlT5kYJMVVSKFLUviFJ2TklTd09I1Nza9yCGlEHPnFM9l4tg/J + fa/gVr//9ukDx5iZGOxurirKSo4K9HRiYty6fuVXJS4FiitYK7T0Ta2dvIKiU3OKa1ol + w5NPVzafH7x5/xEQikKczbwzfkqOgrkVTVaE8XJve3V+aqy/o748Lz0+zNfFFtOUOo9v + hRs7vzCHUsdaYeeKqMjIr2jsGpiYWdp4ti8HIfupM9p2no/JvlwO4/DNy92tlaeTwz2t + NcVZiAyapvQQ3zRLKVCwsEBsY63wCIhMziYpRibJn169+/hZUEL2O+ex7uyflX0/LR5M + DcxU+8/WF6fHIUZZXlpssLezjZmBluotpbMUzVCiQwXHpiEqOpkU8KfDT79xd5L7kbNb + ds5Pyn6DYSDE3718sb06Nzn8sKWqMDOBxbehtvptpYFBYYEZytDc1sU3LOF+QXVLz/Dk + 3Or23isExe9/0Got/YFzGnauj0t/RFDjy6dDJsbUWF97XUlOCnMpYzZLKYb3L//165Ub + t9V1jCzs3f0jk7KL69oxQS1uMCm+HIU4fsp9Liu/+uGjGIhwiLG7tTz7aLCrsTzvXkyw + l5OViZ7mHVq+j59KgeLqDRUKC0ePwKjUB2XkULPLm7sv30GKP+WV+L4QRCmCUGz88dun + 969pmkJ8N1cVpMeFUGBg4aPwVkpBwW2FJS8mLa+iqXuIOdRrOBRJIX7zV4/lt/iA+GNE + 8eUzc6mFqVFJa00RBQbNtdoU3gqTFGlx846mvqmNs3dIbHpBZUvPyJP5tZ09xDZzKOGL + v4WNX/8OkQKx8efvv314+/L5xtL0eF97bXFWYrifqx0o1G7TJHVsqqXl4ibWPDMbF5/Q + +IyC6lbJ6NTCOhaLw8/kUD8UQt6n4FIUGJtLsxOYa0uykyL83ezvEsX1EylwEkUU9wux + WoxOLa4juD/IUXz9KH6rTwhHjQWGEN5EUZpD6569hZHOOShoivr7UAyclULBo7DmyWnx + /acnQUsxMKRayDwq0t/9ZI+i6FYVojsuvaBKiG7EBYvuHxsXIgSP7o8sumdwDlKHM6kI + Pzc7FhfKopvWizuaeiY4o6WZtlJuppWfo36IGnIQmKM+f3hz8HxjcWq0t+0sM62w6nni + vDy3rLFr8NHs8hZb9f6QX/W+Vfye/D3yEFgvPr1/s7eDVW+kp6WqICM+1MeFnQ4qO6kV + zkB06QwEp7Q5JXUd/ePTS+wMBGe0R06jvrcechT/xHLx8d2rFzg3fzTU3VSRl0ZnINam + OANRtnZfkp4N0uVFYmYhFgwsezgbpMX7d3aFJP32kw/jX39H+iPsGuNPSHFIDoVFj020 + KVEBHuwCA9esimcgoGBn5lgwsHjHpefhImlwYnZp8/nLtx8ULi/+urEnfoOMAmdRdLn3 + 8f0r4TSqtbooE0s3Fj0juthTdslKV0l0B4SfDqbklNS29Y5Oza/xU3OFq6Tv41UyBDov + ZxCICpJiZmKgE6e0dDLoYmNuoK2K6wsltw/YtZ4KTs3v2rn5huMCA7MUiUGRwa4wjjoV + fu/Ew3nxN2QUBPFPduH9ll8kjeBcsDg7JSrQwxFXrBQWiicgly5ReF+/rSrcyIlJzSmt + a8Ml69wqpqm3uNoT7qfJfuc7UMi+nBjYPSm6CbLDLlg7GyvyM3D3gG7l6KizsDh+MkgU + 4k01S1wnRSRCjMau/vEp3I7aA4aymyAXP+ZK/lJGIHdj7dOH96/34U+zj4cetpAU0UE0 + Q+GyW/kFKyiEu2q4Ye7sHQwximtaHuLW4NL6zt4rjqFw9Y2fVmLQhV6So2DexO/jvH99 + 8HxzBTcHe9tx7wA3chDbFrhePfEWJ3OpW6pYvkmM8Pj0vLL6NtyRQmg8A8b7k24OfhMO + RQa6U/vhHZTYYnejOpsqCzKTokgKMwO2haH8djNcim5x0h0pG2evoKgkdsu8b3Ty6fLG + Dt32x41apXfML3ToZX8kB0APKSJ4SNBtWg4xPsBumqfGhiIqBCkwzyqGBQUGNolxd1CT + bje7+obGYPuiqqmzHxhL2ILBNhLd+acgV9y9+CuOdYRCdCYSgm6ZP9+EEhODD1trS3PT + 4yMCPHCzWZBCyTxLV37sTi2732xq5Ug+hf2w6qYuhrGODQzyKshxEsfFPEsZA5wJ98ux + ffECm2Icoq6MNpOCvJzZLsxpO3t8GwbbxDrMpwIjE9JzS2qaCUPcTIIcp3GcB+SI+fSE + ZKDplTFACNpKWscG5TiUqCvPz0zGbrGrvYWJHt+EOUGKS5co3ZG27MmnsMUaHJlIGE2d + fSOPZmhjD3K8wUY336rn957F63HBJpm3f/XRMQqGIGN4+/pg79nW6iI2iwe6AVGQmRIT + ip0ktsmqcgMbYidu3HMxbqioaWNnz97VJzgqKSO3pLpJ2GSl3e4DxsH0EAPkGAiz7msM + ShCYDLTlja17pCDQzv3KPG2xdrfUlgEiNszfg+/q3Tltc5JHBm0VI/XA0MzKwc0XGOm5 + 2CvmG94LKxvYLBY4REFYpCsDOTHgjxGInkS7xDz94C2iendnc3Xp6dTEUG8n9onzpRBG + QvLBaZkglEGBNBDseCM0rB3dgZGYnlNUSckHIxNTT5dWBQ5KAqE0FspiERIoFEnkBDlu + uficx4KYQkGZB0wHMKxRRsvoQE97E1IPMpNjwrC/aottYi3sdp+6ac/EELJZkC/IMSIT + kAhSVtvcIUH+AZIoOMdLZEUdSvNxpKkgiiSiuYr/MwC+NrC9eiCwLBDSYWt9eX72ycRw + H0sDyUX+RKg/IBDZ2kiR+mpSjiw9SlPPyNzawc0H+SypmXklVQ2tyPAan5zhHC94Vg5A + sIkvTSwSDPsqiwwAbiRNLaJkr5f7iIfNteWF2alHo8j0aqpBwlp6YnSInwdB6GurU7ra + aVkgtGRgzcA8deXazdtqHAO5RZQg9aAImWodPXCrJ4xjCxlSSM1BPgWlqbEUKYUcKW6r + IIIIyP9neV4AEAngSIQgZEdBBzAMSjqRjwNv4ulRTjZ3CYIi+5T5iTEwDKTSXmUYughx + 5HlRstq9LGQN1iPRawCJj0gaXOHZasgZFFIGWbYjEu7INGTqsJQvMWCkh55S8ORy7mQp + d28ZAhKjttaR4UUMQ73dbY1MCEpV83FztEFMyCCU7EuKAPx/TLeU04KEYMQGEgedEeOR + cZTBWVbd0Nop6R8epwROZA5S/iZP35QlP1JmI8t9ZDhK/hHzH5HNiUw7Mf1xH9m1O1sb + yBqcm3kyMToIBqTbFUOIhKhQf6QNUr4dQfDcqK9CXGJJd6h9obxmlsTp6ObNsmkzc4vK + a8DR0z80RlmcCwxkh6VxyhJRWR4qMk4JhwZyNtngz5AmKOSiUmYt8mqR9iimoq4uL0KG + SaTUSoihsiQ/Oz0pNjzI152lcFK23ZkhWGggUR4lPCw929gcXoU8zojYpLSsvGJwtHQ8 + 7BtEUjDymheW4FpCUjDlNAtJtUpSgoX0YEoMlssL5mnBLMGZEHg2raSrlRgoDTUeWfNe + ro48nVZI4DxtpZD3KkQ4nEqa3GzKsswDQiLjktLBUVZNmcE8u3lq5inlBq9JE7Tl8rNZ + cjYsFgdlarMsbZajTSnaL+RStOegwqOxYcpsRkZwBTGkxkeHBVKmudUFUptZhDMMIdHc + gDL+nZGkHRoZnwyOQtQsUKY5A3mMdP85kLBkeWT6C7ny0lT5V+LgefNImJfly29tbPB0 + eUr8nwBCX08nssyry5H2n5GaEBMWhPRsB2T9XyTNnDBYiPMSEpTyUPWFA9wqMAx6pGWi + foSy/jkISi+EwgXK+19jif+gYVULu7tI/xcGHlMdAyteYLULq8gtXxRKF5AnP9D7sKu9 + mTL+C/Oy0lPiY8KD/ZAqb2dpbmygg6zmixSSAAPrBtW3IWVeE9U85pa2jkj8DwylQhhU + YBSiAoOB9MiKSKgCZmGJ1ZDwEpLNzc0tceAx1ZSgWIHXkczPP4UETyaFMpLuzrbmhloU + 9qD6Ig3lMGGMwd76LqqshET5c5ZfsBih4KDqYbEYxsTcChweVJQUw6phqJSkuq6xpY0V + 9Ayxgh6hnoeX8yzRWJYOesaKeqimZxr2Ux3MCC/pQXFSfQ3VJrFKGNRYBflCB3trVkKC + 2gtetEBxfXxTVT6elT3mMX5ZWiGGghjioIoYqkxKofqwwpJylIcJxVV9KA1DbdU4r62i + wqoZVChJx8zM9LRQYDUxMUblYgP9EqG8qra6AgioE0PBW3Q4qnk8UbdnbWFmpK+jJa0S + u1jRHnkVlwNLh7qmjh44LFGs505VYuFRcYkpafezc1HoRiSNTajT6+ruQZ0Vr3NDldv4 + +IT8QL0bL3ajWjcq3aNStyZe6oa6qsx0VrMXyirEnOzAYIySJA1WWnXREjGpVwnFbjIO + XrHnFwiQ2IRkXrKHosNKFB02NDW3trUDBjWHKDlE4R6NAQz2oA91emQ96g7bWluaWK0e + KzvMQdlhCkpBUa3n74NqPQeUT5pSGagGynJ5NevFhOA+JpUDBdEqgh5mFtZUPenh7RcI + 10IBaLJQAAqUcrCw+k8q/0TpZ4f8QCEoKwJlNaDVlRUoaC3IF0tAY6LCQ4NQlevugupi + KmVFkTQxUFHVBWv15MKERweiXOCggmJTVLLaORKIb0CQUIybCpSsnFwqZqVaXCrFramp + raurqxdGXV0tKnNRkYuCXKrHzc9DcXFGurQcFyXSnu5U/IkqVqr+hC/x8miB4bxhLceA + h0wOXsyKAnUUd2vr6lNVMUAcZIXRKOxGXXEyr4vOAU0eiqKLijFK+KCHRaiOzs/LfUC1 + 0SgnTkGhd+zR0mgbKwuqKOaVuKzE+5swEJGMg1VHswpvDmJti/polHijSD0QReoRkdEo + 8kaNekoqcFCgfj+TRlZWFvv/Psq601GozurU42KpIDo0OEgsU3ewExGouptXRQtB/fUz + 2KMH/oRnAgf8ijjEantDdJ2wONowACyhgAENugWgV0BCQqI4EhLwHLZT0wD0DAiB/f7o + f+ApaxlghoYUaOJAfTWoh4MYD9+IQU4PrOas8wEiHf0n0LxBaH3Amze4UfMDH+p+QK0b + 0LghLCwsXBx4HBZKDRx4/wZv2E8AjmL7BjSioD4UhHDrhqxbwDdkEDnoHFHWhUINzQN0 + 9WSNNNAGhPpooA8CtaIAj48vhh8f9NDHR2imwXppoDWILbo2WPBWGmhAocVUYNEAV+LV + nn8tppW5FvkVcUhB4Fq8JQg0YT1BLCytrIWeJtTRBP1MXGWDuptQZxNqbEJ9TSzRQAM9 + WlhbE23WQwMq8P4sHOH8pxvKrFby2hEQtGcRGsywBjnUYIb3l2HtZVh3GVtbWzs+8Iia + zfAmM9RjBi1z0DBHD16EFjNqrMUMORLv1/BX1jglZiu+JA+CGOEkCBPqlYNmP9Trx4j1 + +kGjH/Ojw8zMlDr+UIcc1u8H7X7QWYYTyPWWAcJ3k0GORwrCfIt1jiJRyL+ocZG2DrUu + QtclAwNqXyQOPNNHryJqvsTM/8+2XmI4HISChEhYByZ0/6H+XawHFrW70tTUok5SsoF2 + WKwTljp1jmKto5S0wfrl3Ofecof3Ag/xexTtAgmh8IZkrB8ZtSODqccH60om15NM6OP1 + 4xt5HeHlJAIKVAEL6w2HtmnUYA09yuQGnrPXpf3hWLc76nWHo/EjIuGI6ceegIREIRTe + qE++Td812QAgG0d69dEfAuDbLwvHjDzjUwGFYE5smUid+bAUyDoNkv1/FwB5TjKLHV/+ + D5DkhuwN+tjf0Xx5FPaYGar4j8Lnfr7w8wj8PAI/j8DPI/DzCPzAI/C/pN44rgplbmRz + dHJlYW0KZW5kb2JqCjI3IDAgb2JqCjc4MjUKZW5kb2JqCjI4IDAgb2JqCjw8IC9MZW5n + dGggMjkgMCBSIC9UeXBlIC9YT2JqZWN0IC9TdWJ0eXBlIC9JbWFnZSAvV2lkdGggMTk4 + IC9IZWlnaHQgMTI0IC9Db2xvclNwYWNlCi9EZXZpY2VHcmF5IC9JbnRlcnBvbGF0ZSB0 + cnVlIC9CaXRzUGVyQ29tcG9uZW50IDggL0ZpbHRlciAvRmxhdGVEZWNvZGUgPj4Kc3Ry + ZWFtCngB7Zz1exxJkoY9dztmWxYzW8zMzMyywGJmZqvFaMsCi1myRWYZZ2Zvn/3X7ovM + qu6W1JJlGXbuOecPdpO6460vIrOqMiIuXfo1fh2BX0fg1xH4f3kEfjs6/u8cA2b3f509 + 2Gf+nkhkGjf+vzH+gfG7gkGv422BEn/y94ER7SfjmeWXL1++cuXK1ZMDr165fJl9Rkbz + n2cRAAT7YTxZfu3a9evXb/BxUzqEF65fv3aNPgQcogEME+Y/pgr3IADAGG7/NbL95s1b + t25jKCndwVAWBz1RUlKid27dvInPMRrOAicjlJ9OwjVgBAyA7GfWw3RlZRUVVVU1DHUM + DT7oIb2kpqqiArA7hHMLMNdJGJJFEOXnkQgiQAPyIA6AY39HmRmvrqGpqamlra2DocuG + Hv+PXtDW1tLS1NTQAJEqaAhGQGEkTJOfAcIQuAhXGAHchw4/jrwGjIflevr6+gaGRhjG + xsYm4sBjesnQwEBfX08XPETDWIACVUgUTvLDfUuGQCLAiYhARVVNXVNTm8wn441NTE3v + mpmbm1tYWFpayQ1LSwsLc3Mzs7umpibGwNHX1yUW6KJKohDJzwDhDHAkIFxHGBCBmpoG + AejrGxoZm5rCeBhubWNra2dv7+Dg4Ojo6CQMR0c8d7C3s7W1tbaysrQwB42JkZGBvh6h + MBLyLkgiKvLbj1hMaE2j+eiyFEGVEegBwMT0rrmFlZUNjHdwdHJ2cXV1c3f38PDw9PQS + h6enJ15wd3N1dXF2ApGdrY21FViAYkguRiQqykoCCKKdpq3vDCLKgHCGI5EKquqaWtAA + BARgbWtH5sN4D09vbx9fPz//gMDAwKCgYIwQ+icoKCgwMCDA38/P19vby8PDzdUFMPa2 + NlaW5ndNjY1IExnIjxBEykAySBH0DKABCGxsAeDqBvN9/PwCAoNCQkLDwyMio6KiomNi + YsURExMdHRUVGREeHhYaEhwY6O/n4+0JFmcnyMJIoIkuB7l9i3kWF+R76cF8iVwJMtxW + QixoaOkAAVFgZWPn4OTiCgBfP5gfGh4RFRUTG5eQkJiUnJKSmpaWli4OPE5NTUlOTkpM + iI+NjY6KDA8DDFi8PNxcnCAK3MvUmIMg2uFZiJDvxyHHcBOehPmII1hY2do7Ort6ePn4 + BQSFhEXA/PiEpOTUtHsZmVnZ93Nyc/Py8/MLCgppFBQU4Elebm5OdnZWxr30tJTkxIS4 + GLCEAsXXm5HYWlsyEB0tDTWVOyTI9+IgZ0JMkw5gYDLoIxSA4ODk6u7p4xcYHBoeFROX + kJQC87Pvw/TCouKS0rLyiorKqqpquVFVWVFRXlZaWlxUmJ8PnMyMtNTkxPjYqIiwEJB4 + ebg6O9gRiJGBHjxLVVlJ5KA4/4aT3+MMmtrkSeYMwcPLNyAIBLHxAMjIup+bX1BUUlpe + UVVdU1fX0NjY1NyC0dra2tbWhn9b8aS5qbGxob6utrq6sqKstLiQWDLSU5MTYqMjQeLn + TSC21hZ3TQz1deQ5MF9dPDzImaDDlavXmQ5wJUPIYG3n6OIOhOCwyJj4xJT0jOzc/MLi + 0vLK6lpY39zS2t7e0dX1oLtbIunp6enlA48kEkn3g66uzo72NuKpr6uuqigrKcrPvZ95 + j0iiwjmIk4OtFQTR19GCHvCra1cvs3n3YnIIQoDhBvkSYzCDDM5unkCACAnJaURQVAKA + uvrG5lZY/0Ai6e3rGxgcHBoeHhkZGR0dHaOB//FsZHhocHCgv6+3R9Ld1QmYpoa6mqqK + 0qICkKSnJMZFMxB3F0eBg/RAnNO8+4+LyUEQPCBuKSmrwZcMTciVXDy8/YLCIgkh835e + IQhq6gig84Gkp68fxo+Mjo8/fDQx8fjxJMaUdNCzxxMTjx6Oj4+NjAwNDvT1gqWjraWx + vpZI8nOz7qUmxkGRAB9PVyc7a6aHJsU5wvyCcvCoZs6krKqhpcsYHF09fAJCwqPjCSG/ + qLSiGgRtHV0AGBiC+bD+8eTU9MzMk9m5ubn5hYWFRXHg8fw8Xpx9MjMzPTk5MfEQMEOD + /b2SB53tIKmpLCvOz82+l5IQGxka6Ofl5mQvclCYX8OsS9HxdUFOEDhhunaDCaGjbwxf + cnT19A0MjYxNTM3IzgNCTX1TS3uXpLd/cHh0/NEEmQ/jYfjS8srKyura2vrRsba2urqy + sry0uLgwTzRTkxOPCGWgT/Kgo625obYKIDmZacnxUeFBft6cw1BPW0NVlOPrvEr0JkQE + hNDWNzK1tHUghrCouOT0rNzCkorq+qbWjgc9fYMjY+MTj6dmnuDQL8J6mL6xubW1tb39 + 9OmzY+Pp0228s7mxvr62SjRggS5QZWSov7e7q72lobaytCgvOyMlISY8mDjsrMyMDXQ0 + 1RAdtHjQydW55QAEWyMwNSEidA1MzK0RD8QQn3wPMpRV1TUCobd/aIQRzM7R4V8j87dh + +s7u7t7e3v7+wcFz+XFwcLC/jzd2d549I5wNYiGUJ0QyOjzYJ+lqb66vrijJz8lIZRxe + ro62lqZGetrqKneYV30FBoe4chXepKIOIe5a2Tm5eweGEsP9/JKKmgY4Uk//0Oj4xCR8 + aHFpZXV9g+zfgfX7MP3Fi5evaLzGeCMMPGSvvXz5AmgHwAHM9tbm+trKMkhmph4/GhsZ + 7OvubG2sqywtzM0kjiBfDxcHa3MTrB6Qg82551aDKYGQuH1HVVNH38TcxsHVyy8kMo4z + 1Da2dkrgSOMTUzMIAkiwuQUA2A/zYT0Mf4txePju3bv38gPPDw8P8dabNwAiGLDskiwb + a9AEkgBkuL8HgtRVcY6osEBvdyfIYagreNXl88a4FIK8yRAR4eThExgem5SeDR3qmtq6 + egaGSYW5haUVaPD02S4BkP0wH7bD8g8fPnz8+Onk+Pjx44cPeB88RMNYDogEmqwuA2Rq + YpwEaW+pry4tzMlIjosM8fN0cbAyM9LXVmfBcU4MOQgtPSMzKwcXT/+QqITUrLziitqm + 9q7ewZGHj6dnCWFzCxrskwIAOGTmc+M/f/4D488TAy9+/vyZ6BgNsUCWF8+JZHuLgcxM + Phob6uvuaKmvKs3PTk+KCQ/0dnO0gVchOJQQ4+fCYGsduZOympa+MbzJzRtCJGfkFJXX + NLaBYfQRZIAjMYQDaPCaFMDhx8En65npf2H88+Sgl9n7RMNYoAuJAv/aZyArS/MkCDjg + V5XFeZmp8ZDDw8nWwtRAR0OK8YWJ6hiErZO7b3BkfGpWfmlVQ2tXDzE8mV9aXd96urNH + CEwDBsDtZ8b/z9kDdJwGLKQKI3kNSQhkY215cXaaczTWlBfeT0+MDvX3dLGzlGLQhHsm + Bk1PuDnAlTAxR0j4hUQnpucUVdQ2d0gGRsCwsLy2sf1MQIAXkQQkwBH7/3XGEBGJBX8n + kMC7AHKwt/N0a31lcW56Ymywt6u1vqokLzM5Fl7lam9lasjUwDJOJ1VnrOIEcVmEsLB1 + 9vQPgzfllVQ1tD3oG34IHZbXNiHD8xdQAW70UUbAjVNk/b8VvSiwAEUg+fCeQF6SIMQx + OzUxOiBpb6opK8hOjY8AhoMV1ECI09nImWIwf7p6/dYdNU09Y3NABITHpmTll9U0dUgG + xyZm5ohhd//5y9dvD0UE0oCZdNLWfwvj5DvCKxyFaUKSAAQxAkGIY+HJ5MPhvq6Wuoqi + +2kJkUEcg2aqGyzCTxeD+dO1m0qqmnpGHCIuNbugvK6lq2/k0dTc4ipjYDLAkeBHQDhd + g3+JFGfCsL9nkgAEQXIocizPzzA5GqqKc9MTooBhb2mqr6WmfOv61bN8SvQnFQ0dIzMb + JygBiKKK+rbugdGJmYWV9e2d/ecCA8WCgKDoUB8DOPb02F/IgZAgh2+hx+7TzdXF2cnx + oZ6OxuqS3HsMw87CWE9T9c7NM33qN1xQwJ+U1bQN7lo7eiAmAFHZ0C4ZHJ+cXVzberZH + DO8/cBm4Ix0z6Ji5pzw99kd4ykEQIxCEc+zvbK8vz08/GunrbKouBUZkoLezrbmRrqbK + mT4l+pOapr6plYO7X2hsCoPoGXo4Nbe8vr17gHh4JzKw3z1hzilmK3756F/TF1KIcI43 + r57vPYMcMxMjfV3NUCM9ISLQ08nmriEinPuU4shgUty4raKha2Ru5+oTEp2cVVjR0N4z + /AjetElCYFr6yMKBRcMRIxTbefarR74ATxgHJi3G8fb1i/2drfXFJ49HGUZOWly4nzsm + KoSG0s1rl39XPNsKUtwhf7Jx8gqMSsrIr6hnEIurWzv7L18fguGzGA5HTDjb2jPePfIt + chyfPr5/R3Jsry/NEkZTdVF2SmyIj6sdfEqD5qlTZltRCk09E0sHd//w+Ht5ZXVtkqFH + MwiJXSYErQ48pI/9+hl2nuMt+S/jfvXnnxQeb4HxdH159vFIX0djZWFWclSgl5P1XQNt + NQT45X8oXPlAcfnazTtqOoZmti7eIbGpOSU1Ld2DD2cWOASiGvMSi2n5nz2HlV/6iPzX + CXrArSDH4esXe8+gxsRIb3t9ef69BPiUvYXxWWJg2caqrQIprBw8AiOTMgsrm7oGxqfm + V5kSLCIUCPElE8/1/gkOWtI/f8Li8QJqLM48GpK01pbkpMaEeDvbkBhKWPoUiUFSXIUU + 2iSFT2hcem5ZfXvv6OTcCoeQRsSRXzyXjef7kNz3Cm71x6cP7zjG9PhAV1NlYWZihL+7 + AxPj1vUrvytwKVBcwVqhoWts6eAREJmcXVTdIhmaeLK88ezg1dv3gDgpxPnMO+en5CiY + W9FkRRjP97ZW5iZH+9rrynLTYkO8nawxTany+D5xSvgbcyhVrBU2zoiK9Lzyhs7+8enF + 9af7chCynzqnbV/zMdmXy2Ecvnq+s7n8ZGKou6W6KBORQdOUDuKbZqkTFCwsENtYK9z8 + whOzSIrhCfKnF2/efxSUkP3O11h3/s/Kvp8WD6YGZqr9p2sLU2MQozQ3NTrQ09HKRE9D + +ZbCWYpmKNGhAqNTERUdTAr40+GHT9yd5H7k/JZ95Sdlv8EwEOJvnu9urcxODD1orizI + iGPxra+pelthYFBYYIbSN7V28g6Ju5df1dw9NDG7srX3AkHxx5+0Wkt/4CsN+6qPS39E + UOPzh0MmxuRob1ttcXYScylDNkudDO/f/uv3Kzduq2oZmNm6+oYnZBXVtmGCWlhnUnw+ + CnH8lPurrPzih49iIMIhxs7m0szDgc6GstyUqEAPBwsjHfU7tHwfP5UCxdUbShQW9m7+ + Ecn3S8mhZpY2dp6/gRR/ySvxYyGIUgSh2Pjz04e3L2maQnw3VeanxQRRYGDho/BWSEHB + bYElLyo1t7yxa5A51Es4FEkhfvMXj+X3+ID4Y0Tx+SNzqfnJEUlLdSEFBs21mhTeJyYp + 0uLmHXVdYytHz6DotPyK5u7hx3Or23uIbeZQwhd/Dxu//B0iBWLjrz8+vXv9/Nn64tRY + b1tNUWZ8qI+zDShUbtMkdWyqpeXiJtY8Eysnr+DY9PyqFsnI5PwaFovDj+RQPxVC3qfg + UhQYG4sz45hri7MSwnxdbO8SxfVTKXASRRT3CrBajEwurCG438lRfPkofq9PCEeNBYYQ + 3kRRkk3rnq2ZgdZXUNAU9feh6D8vxQmPwponp8WPn54ELcXAkGoh86hwX9fTPYqiW1mI + 7pi0/EohuhEXLLp/blyIEDy637PonsY5SC3OpMJ8XGxYXCiKblov7qjrGOGMlmbaCrmZ + Vn6O+ilqyEFgjvr47tXBs/WFyZGe1vPMtMKq547z8pzShs6BhzNLm2zV+1N+1fte8Xv6 + 98hDYL348PbV3jZWveHu5sr89NhgLyd2OqjopFY4A9GmMxCc0mYX17b3jU0tsjMQnNEe + OY360XrIUfwTy8X7Ny92cW7+cLCrsTw3lc5ALI1xBqJo7b4kPRuky4v4jAIsGFj2cDZI + i/cf7ApJ+u2nH8Zvf0f6I+wa4y9IcUgOhUWPTbRJEX5u7AID16wnz0BAwc7MsWBg8Y5J + y8VF0sD4zOLGs+ev3524vPh2Y0/9BhkFzqLocu/92xfCaVRLVWEGlm4segZ0safokpWu + kugOCD8dTMourmntGZmcW+Wn5ieukn6MV8kQ6LycQSAqSIrp8f4OnNLSyaCTlamepjKu + LxTcPmDXeko4Nb9r4+IdigsMzFIkBkUGu8I46lT4vVMP58XfkFEQxD/ZhfdrfpE0jHPB + oqykCH83e1yxUlicPAG5dInC+/ptZeFGTlRydkltKy5ZZ1cwTb3G1Z5wP032Oz+AQvbl + xMDuSdFNkG12wdrRUJ6XjrsHdCtHS5WFxfGTQaIQb6qZ4zopLB5iNHT2jU3idtQeMBTd + BLn4MVfwlzICuRtrH969fbkPf5p5NPigmaSIDKAZCpfdii9YQSHcVcMNc0fPQIhRVN38 + ALcGF9e2915wjBNX3/hpBQZd6CU5CuZN/D7O25cHzzaWcXOwpw33DnAjB7FthuvVU29x + Mpe6pYzlm8QIjU3LLa1rxR0phMZTYLw97ebgd+E4yUB3at+9gRKb7G5UR2NFfkZCBElh + ose2MBTfboZL0S1OuiNl5egREJHAbpn3jkw8WVrfptv+uFGr8I75hQ697I/kAOghRQQP + CbpNyyHG+tlN8+ToYESFIAXm2ZNhQYGBTWLcHVSn283O3sFR2L6obOzoA8YitmCwjUR3 + /inIT+5efItjHaEQnYmEoFvmzzagxPjAg5aakpy02DA/N9xsFqRQMM/SlR+7U8vuNxtb + 2JNPYT+sqrGTYaxhA4O8CnKcxnExz1LEAGfC/XJsX+xiU4xD1JbSZlKAhyPbhTlrZ49v + w2CbWIv5lH94XFpOcXUTYYibSZDjLI6vATliPj0hGWh6ZQwQgraS1rBBOQYlasvyMhKx + W+xsa2akwzdhTpHi0iVKd6Qte/IpbLEGhscTRmNH7/DDadrYgxyvsNHNt+r5vWfxelyw + SebtX3x0jIIhyBhevzzYe7q5soDN4v4uQORnJEUFYyeJbbIq3cCG2Kkb91yMG0oqmtjZ + s3X2CoxISM8prmoUNllpt/uAcTA9xAA5BsKs+xKDAgQmA215Y+seKQi0c788R1usXc01 + pYCIDvF147t6d87anOSRQVvFSD3QN7Gwc/EGRloO9or5hvf88jo2iwUOURAW6YpATg34 + YwSiJ9EuMU8/eI2o3tneWFl8Mjk+2NOBfeI8KYSBkHxwViYIZVAgDQQ73ggNS3tXYMSn + ZRdWUPLB8Pjkk8UVgYOSQCiNhbJYhASKkyRyghy3XHzOY0FMoaDMA6YDGFYpo2Wkv7ut + EakHGYlRIdhftcY2sQZ2u8/ctGdiCNksyBfkGOFxSAQprWlqlyD/AEkUnOM5sqIOpfk4 + 0lSQkySiuSf/ZwB8bWB79UBgWSCkw+ba0tzM4/GhXpYGkoP8iWBfQCCyNZEi9cWkHFl6 + lLqOgamlnYsX8lmSM3KLK+tbkOE1NjHNOXZ5Vg5AsIkvTSwSDPsiiwwAbiRNLaJkr+f7 + iIeN1aX5mcmHI8j0aqxGwlpafGSQjxtB6GqqUrraWVkgtGRgzcA8deXazdsqHAO5RZQg + db8QmWrt3XCrx4xjExlSSM1BPgWlqbEUqRM5UtxWQQQRkP/P8rwAIBLAkQhByI6CDmAY + kHQgHwfexNOjHKzuEgRF9hnzE2NgGEilvcowtBHiyPOiZLWUTGQN1iHRqx+Jj0gaXObZ + asgZFFIGWbYjEu7INGTqsJQvMWCkh55S8ORy7mQpd68ZAhKjNteQ4UUMgz1drQ1MCEpV + 83Kxt0JMyCAU7EuKAPx/TLeU04KEYMQGEgcdEePhMZTBWVpV39Ih6RsaowROZA5S/iZP + 35QlP1JmI8t9ZDgK/hHzH5HNiUw7Mf1xH9m125vryBqcnX48PjIABqTbFUGIuIhgX6QN + Ur4dQfDcqC9CXGJJd6h9obxmlsRp7+LJsmkzcgrLqsHR3Tc4Slmc8wxkm6VxyhJRWR4q + Mk4JhwZyNtngz5AmKOSiUmYt8mqR9iimoq4sLUCGCaTUSoihojgvKy0hOjTA25WlcFK2 + 3bkhWGggUR4lPCw929AUXoU8zrDohNTM3CJwNLc/6B1AUjDymucX4VpCUjDlNAtJtQpS + goX0YEoMlssL5mnBLMGZEHg2raSzhRgoDTUWWfMezvY8nVZI4DxrpZD3KkQ4nEqa3GzM + ssz9gsJjEtLAUVpFmcE8u3ly+gnlBq9KE7Tl8rNZcjYsFgdlarMsbZajTSnau3Ip2rNQ + 4eHoEGU2IyO4nBiSYyND/CnT3OICqc0swhmGkGiuRxn/jkjSDg6PTQRHAWoWKNOcgTxC + uv8sSFiyPDL9hVx5aar8C3HwvHkkzMvy5TfX13m6PCX+jwOht7sDWeZVZUj7T0+OiwoJ + QHq2HbL+L5JmThgsxHkJCUp5qPrCDm7lHwI9UjNQP0JZ/xwEpRdC4QLl/a+yxH/QsKqF + nR2k/wsDj6mOgRUvsNqFFeSWLwilC8iT7+950NnWRBn/BbmZaUmxUaGBPkiVtzE3NdTT + QlbzRQpJgIF1g+rbkDKvjmoeU3NreyT++wdTIQwqMApQgcFAumVFJFQBM7/Iakh4CcnG + xsamOPCYakpQrMDrSObmnkCCxxNCGUlXR2tTfQ0Ke1B9kYpymBDGYGt5F1VWQqL8V5Zf + sBih4KDqYbEYxsjUAhxuVJQUxaphqJSkqrahuZUV9Ayygh6hnoeX8yzSWJIOesaKeqim + Zwr2Ux3MMC/pQXFSXTXVJrFKGNRYBXhDB1tLVkKC2gtetHCRAise45elFWIoiCEOqoih + yqQkqg8rKC5DeZhQXNWL0jDUVo3x2ioqrJpGhZJ0TE9PTQkFVuPjo1Qu1t8nEcqraqrK + gYA6MRS8RYaimscddXuWZiYGuloaQpUYL0s6vjMsPykpfkxexeXA0qGqrqUDDnMU67lS + lVhoREx8Uuq9rBwUuhFJQyPq9Dq7ulFnxevcUOU2NjYuP1DvxovdqNaNSveo1K2Rl7qh + riojjdXsBbMKMQcbMBiiJEmNlVZdtERM6lVCsZuMg1fs+fgDJDoukZfsoeiwAkWH9Y1N + La1tgEHNIUoOUbhHox+DPehFnR5Zj7rD1pbmRlarx8oOs1F2mIRSUFTr+XqhWs8O5ZPG + VAaqhrJcXs36LdWTUjlQEK0k6GFiZknVk26ePv5wLRSAJgoFoEApAwur/6TyT5R+tssP + FIKyIlBWA1pVUY6C1vw8sQQ0KiI0OABVua5OqC6mUlYUSRMDFVVdsFZPzsN4dCDKBQ4q + KDZGJauNPYF4+wUIxbjJQMnMzqFiVqrFpVLc6uqa2traOmHU1tagMhcVuSjIpXrcvFwU + F6enSctxUSLt7krFn6hipepP+BIvjxYYvj4i5Cho6aAlkIpZUaCO4m5NbV2qKgaInaww + GoXdqCtO5HXR2aDJRVF0YRFGMR/0sBDV0Xm5OfepNhrlxEko9I4+WhptZWFGFcW8EpeV + eH8XBuKRcbDqaFbhzUEsrVEfjRJvFKn7o0g9LDwSRd6oUU9KBg4K1O9l0MjMzGT/30NZ + dxoK1Vmdekw0FUQHBwaIZep2NiICVXfzqmghqL98Bit/2E99LHDAr4hDrLbXR9cJs6MN + A8ASDBjQoFsAegXExcWLIy4Oz2E7NQ1Az4Ag2O+L/gfuspYBJmhIgSYO1FeDejiI8fCd + GOT0wGrOHAuRjv4TaN4gtD7gzRtcqPmBF3U/oNYNaNwQEhISKg48DgmmBg68f4Mn7CcA + e7F9AxpRUB8KQrh1Q9Yt4DsyiBxCgAhdKFTQPEBbR9ZIA21AqI8G+iBQKwrweHlj+PBB + D728hGYarJcGWoNYo2uDGW+lgQYUGkwFFg1wJV7t+W0xrci5yK+IgyKdgcC1eEsQaMJ6 + gpiZW1gKPU2oown6mTjLBnU3oc4m1NiE+pqYo4EGerSwtiaarIcGVOD9WTjCN5TXKzJf + 9toRELRnERrMsAY51GCG95dh7WVYdxlra2sbPvCIms3wJjPUYwYtc9AwRwdehBYzKqzF + DDkS79fwLWuczNozHsmDIEY4CcKEeuWg2Q/1+jFgvX7Q6Mf06DAxMaaOP9Qhh/X7Qbsf + dJbhBHK9ZYDww2SQA5OCMN9inaNIFPIvalykqUWti9B1SU+P2heJA8900auImi8x8/+z + rZcYDgehICES1oEJ3X+ofxfrgUXtrtTVNaiTlGygHRbrhKVKnaNY6ygFbbB+u3ivCbnj + fP6H+D2KdoGEUHhDMtaPjNqRwdTjg3Ulk+tJJvTx+vmNvI5gchIBBaqAhfWGQ9s0arCG + HmVyA8/Z69L+cKzbHfW6w9H4GZFwxPRjT0BCohAKb9Qn36bvmmwAkI0jvfroDwHw/ZeF + Y0ae86mAQjCntkykznxYCmSdBsn+vwuAPCeZxY4v/wdIckP2Bn3s72i+PAp7zAw9+c+J + z/164dcR+HUEfh2BX0fg1xH4iUfgfwEzgziwCmVuZHN0cmVhbQplbmRvYmoKMjkgMCBv + YmoKNzgyNgplbmRvYmoKMjIgMCBvYmoKPDwgL0xlbmd0aCAyMyAwIFIgL1R5cGUgL1hP + YmplY3QgL1N1YnR5cGUgL0ltYWdlIC9XaWR0aCAxOTggL0hlaWdodCAxMjQgL0NvbG9y + U3BhY2UKL0RldmljZUdyYXkgL0ludGVycG9sYXRlIHRydWUgL0JpdHNQZXJDb21wb25l + bnQgOCAvRmlsdGVyIC9GbGF0ZURlY29kZSA+PgpzdHJlYW0KeAHtnPV7HEmShj13O2Zb + FjNbzMzMzLLAYmZmq8VoywKLWbJFZhlnZm+f/dfui8yq7pbUkmUZdu455w92k7rjrS8i + s6oyIi5d+jV+HYFfR+DXEfh/eQR+Ozr+7xwDZvd/nT3YZ/6eSGQaN/6/Mf6B8buCQa/j + bYESf/L3gRHtJ+OZ5ZcvX75y5crVkwOvXrl8mX1GRvOfZxEABPthPFl+7dr169dv8HFT + OoQXrl+/do0+BByiAQwT5j+mCvcgAMAYbv81sv3mzVu3bmMoKd3BUBYHPVFSUqJ3bt28 + ic8xGs4CJyOUn07CNWAEDIDsZ9bDdGVlFRVVVTUMdQwNPughvaSmqqICsDuEcwsw10kY + kkUQ5eeRCCJAA/IgDoBjf0eZGa+uoampqaWtrYOhy4Ye/49e0NbW0tLU1NAAkSpoCEZA + YSRMk58BwhC4CFcYAdyHDj+OvAaMh+V6+vr6BoZGGMbGxibiwGN6ydDAQF9fTxc8RMNY + gAJVSBRO8sN9S4ZAIsCJiEBFVU1dU1ObzCfjjU1MTe+amZubW1hYWlrJDUtLCwtzczOz + u6amJsbA0dfXJRbookqiEMnPAOEMcCQgXEcYEIGamgYB6OsbGhmbmsJ4GG5tY2trZ2/v + 4ODg6OjoJAxHRzx3sLeztbW1trKytDAHjYmRkYG+HqEwEvIuSCIq8tuPWExoTaP56LIU + QZUR6AHAxPSuuYWVlQ2Md3B0cnZxdXVzd/fw8PD09BKHp6cnXnB3c3V1cXYCkZ2tjbUV + WIBiSC5GJCrKSgIIop2mre8MIsqAcIYjkQqq6ppa0AAEBGBta0fmw3gPT29vH18/P/+A + wMDAoKBgjBD6JygoKDAwIMDfz8/X29vLw8PN1QUw9rY2Vpbmd02NjUgTGciPEETKQDJI + EfQMoAEIbGwB4OoG8338/AICg0JCQsPDIyKjoqKiY2JixRETEx0dFRUZER4eFhoSHBjo + 7+fj7QkWZyfIwkigiS4HuX2LeRYX5HvpwXyJXAky3FZCLGho6QABUWBlY+fg5OIKAF8/ + mB8aHhEVFRMbl5CQmJSckpKalpaWLg48Tk1NSU5OSkyIj42NjooMDwMMWLw83FycIArc + y9SYgyDa4VmIkO/HIcdwE56E+YgjWFjZ2js6u3p4+fgFBIWERcD8+ISk5NS0exmZWdn3 + c3Jz8/Lz8wsKCmkUFBTgSV5ubk52dlbGvfS0lOTEhLgYsIQCxdebkdhaWzIQHS0NNZU7 + JMj34iBnQkyTDmBgMugjFIDg4OTq7unjFxgcGh4VE5eQlALzs+/D9MKi4pLSsvKKisqq + qmq5UVVZUVFeVlpaXFSYnw+czIy01OTE+NioiLAQkHh5uDo72BGIkYEePEtVWUnkoDj/ + hpPf4wya2uRJ5gzBw8s3IAgEsfEAyMi6n5tfUFRSWl5RVV1TV9fQ2NjU3ILR2tra1taG + f1vxpLmpsbGhvq62urqyoqy0uJBYMtJTkxNioyNB4udNILbWFndNDPV15DkwX108PMiZ + oMOVq9eZDnAlQ8hgbefo4g6E4LDImPjElPSM7Nz8wuLS8srqWljf3NLa3t7R1fWgu1si + 6enp6eUDjyQSSfeDrq7OjvY24qmvq66qKCspys+9n3mPSKLCOYiTg60VBNHX0YIe8Ktr + Vy+zefdicghCgOEG+RJjMIMMzm6eQIAICclpRFBUAoC6+sbmVlj/QCLp7esbGBwcGh4e + GRkZHR0do4H/8WxkeGhwcKC/r7dH0t3VCZimhrqaqorSogKQpKckxkUzEHcXR4GD9ECc + 07z7j4vJQRA8IG4pKavBlwxNyJVcPLz9gsIiCSHzfl4hCGrqCKDzgaSnrx/Gj4yOjz98 + NDHx+PEkxpR00LPHExOPHo6Pj42MDA0O9PWCpaOtpbG+lkjyc7PupSbGQZEAH09XJztr + pocmxTnC/IJy8KhmzqSsqqGlyxgcXT18AkLCo+MJIb+otKIaBG0dXQAYGIL5sP7x5NT0 + zMyT2bm5ufmFhYVFceDx/DxenH0yMzM9OTkx8RAwQ4P9vZIHne0gqaksK87Pzb6XkhAb + GRro5+XmZC9yUJhfw6xL0fF1QU4QOGG6doMJoaNvDF9ydPX0DQyNjE1MzcjOA0JNfVNL + e5ekt39weHT80QSZD+Nh+NLyysrK6tra+tGxtra6urKyvLS4uDBPNFOTE48IZaBP8qCj + rbmhtgogOZlpyfFR4UF+3pzDUE9bQ1WU4+u8SvQmRASE0NY3MrW0dSCGsKi45PSs3MKS + iur6ptaOBz19gyNj4xOPp2ae4NAvwnqYvrG5tbW1vf306bNj4+nTbbyzubG+vrZKNGCB + LlBlZKi/t7urvaWhtrK0KC87IyUhJjyYOOyszIwNdDTVEB20eNDJ1bnlAARbIzA1ISJ0 + DUzMrREPxBCffA8ylFXVNQKht39ohBHMztHhXyPzt2H6zu7u3t7e/v7BwXP5cXBwsL+P + N3Z3nj0jnA1iIZQnRDI6PNgn6Wpvrq+uKMnPyUhlHF6ujraWpkZ62uoqd5hXfQUGh7hy + Fd6kog4h7lrZObl7B4YSw/38koqaBjhST//Q6PjEJHxocWlldX2D7N+B9fsw/cWLl69o + vMZ4Iww8ZK+9fPkCaAfAAcz21ub62soySGamHj8aGxns6+5sbayrLC3MzSSOIF8PFwdr + cxOsHpCDzbnnVoMpgZC4fUdVU0ffxNzGwdXLLyQyjjPUNrZ2SuBI4xNTMwgCSLC5BQDY + D/NhPQx/i3F4+O7du/fyA88PDw/x1ps3ACIYsOySLBtr0ASSAGS4vweC1FVxjqiwQG93 + J8hhqCt41eXzxrgUgrzJEBHh5OETGB6blJ4NHeqa2rp6BoZJhbmFpRVo8PTZLgGQ/TAf + tsPyDx8+fPz46eT4+PHjhw94HzxEw1gOiASarC4DZGpinARpb6mvLi3MyUiOiwzx83Rx + sDIz0tdWZ8FxTgw5CC09IzMrBxdP/5CohNSsvOKK2qb2rt7BkYePp2cJYXMLGuyTAgA4 + ZOZz4z9//gPjzxMDL37+/JnoGA2xQJYXz4lke4uBzEw+Ghvq6+5oqa8qzc9OT4oJD/R2 + c7SBVyE4lBDj58Jgax25k7Kalr4xvMnNG0IkZ+QUldc0toFh9BFkgCMxhANo8JoUwOHH + wSfrmel/Yfzz5KCX2ftEw1igC4kC/9pnICtL8yQIOOBXlcV5manxkMPDydbC1EBHQ4rx + hYnqGIStk7tvcGR8alZ+aVVDa1cPMTyZX1pd33q6s0cITAMGwO1nxv/P2QN0nAYspAoj + eQ1JCGRjbXlxdppzNNaUF95PT4wO9fd0sbOUYtCEeyYGTU+4OcCVMDFHSPiFRCem5xRV + 1DZ3SAZGwLCwvLax/UxAgBeRBCTAEfv/dcYQEYkFfyeQwLsAcrC383RrfWVxbnpibLC3 + q7W+qiQvMzkWXuVqb2VqyNTAMk4nVWes4gRxWYSwsHX29A+DN+WVVDW0Pegbfggdltc2 + IcPzF1ABbvRRRsCNU2T9vxW9KLAARSD58J5AXpIgxDE7NTE6IGlvqikryE6NjwCGgxXU + QIjT2ciZYjB/unr91h01TT1jc0AEhMemZOWX1TR1SAbHJmbmiGF3//nL128PRQTSgJl0 + 0tZ/C+PkO8IrHIVpQpIABDECQYhj4cnkw+G+rpa6iqL7aQmRQRyDZqobLMJPF4P507Wb + SqqaekYcIi41u6C8rqWrb+TR1NziKmNgMsCR4EdAOF2Df4kUZ8Kwv2eSAARBcihyLM/P + MDkaqopz0xOigGFvaaqvpaZ86/rVs3xK9CcVDR0jMxsnKAGIoor6tu6B0YmZhZX17Z39 + 5wIDxYKAoOhQHwM49vTYX8iBkCCHb6HH7tPN1cXZyfGhno7G6pLcewzDzsJYT1P1zs0z + feo3XFDAn5TVtA3uWjt6ICYAUdnQLhkcn5xdXNt6tkcM7z9wGbgjHTPomLmnPD32R3jK + QRAjEIRz7O9sry/PTz8a6etsqi4FRmSgt7OtuZGupsqZPiX6k5qmvqmVg7tfaGwKg+gZ + ejg1t7y+vXuAeHgnMrDfPWHOKWYrfvnoX9MXUohwjjevnu89gxwzEyN9Xc1QIz0hItDT + yeauISKc+5TiyGBS3LitoqFrZG7n6hMSnZxVWNHQ3jP8CN60SUJgWvrIwoFFwxEjFNt5 + 9qtHvgBPGAcmLcbx9vWL/Z2t9cUnj0cZRk5aXLifOyYqhIbSzWuXf1c82wpS3CF/snHy + CoxKysivqGcQi6tbO/svXx+C4bMYDkdMONvaM9498i1yHJ8+vn9HcmyvL80SRlN1UXZK + bIiPqx18SoPmqVNmW1EKTT0TSwd3//D4e3lldW2SoUczCIldJgStDjykj/36GXae4y35 + L+N+9eefFB5vgfF0fXn28UhfR2NlYVZyVKCXk/VdA201BPjlfyhc+UBx+drNO2o6hma2 + Lt4hsak5JTUt3YMPZxY4BKIa8xKLafmfPYeVX/qI/NcJesCtIMfh6xd7z6DGxEhve315 + /r0E+JS9hfFZYmDZxqqtAimsHDwCI5MyCyubugbGp+ZXmRIsIhQI8SUTz/X+CQ5a0j9/ + wuLxAmoszjwakrTWluSkxoR4O9uQGEpY+hSJQVJchRTaJIVPaFx6bll9e+/o5NwKh5BG + xJFfPJeN5/uQ3PcKbvXHpw/vOMb0+EBXU2VhZmKEv7sDE+PW9Su/K3ApUFzBWqGha2zp + 4BEQmZxdVN0iGZp4srzx7ODV2/eAOCnE+cw756fkKJhb0WRFGM/3tlbmJkf72uvKctNi + Q7ydrDFNqfL4PnFK+BtzKFWsFTbOiIr0vPKGzv7x6cX1p/tyELKfOqdtX/Mx2ZfLYRy+ + er6zufxkYqi7pbooE5FB05QO4ptmqRMULCwQ21gr3PzCE7NIiuEJ8qcXb95/FJSQ/c7X + WHf+z8q+nxYPpgZmqv2nawtTYxCjNDc1OtDT0cpET0P5lsJZimYo0aECo1MRFR1MCvjT + 4YdP3J3kfuT8ln3lJ2W/wTAQ4m+e726tzE4MPWiuLMiIY/Gtr6l6W2FgUFhghtI3tXby + Dom7l1/V3D00MbuytfcCQfHHn7RaS3/gKw37qo9Lf0RQ4/OHQybG5GhvW21xdhJzKUM2 + S50M79/+6/crN26rahmY2br6hidkFdW2YYJaWGdSfD4KcfyU+6us/OKHj2IgwiHGzubS + zMOBzoay3JSoQA8HCyMd9Tu0fB8/lQLF1RtKFBb2bv4RyfdLyaFmljZ2nr+BFH/JK/Fj + IYhSBKHY+PPTh7cvaZpCfDdV5qfFBFFgYOGj8FZIQcFtgSUvKjW3vLFrkDnUSzgUSSF+ + 8xeP5ff4gPhjRPH5I3Op+ckRSUt1IQUGzbWaFN4nJinS4uYddV1jK0fPoOi0/Irm7uHH + c6vbe4ht5lDCF38PG7/8HSIFYuOvPz69e/382fri1FhvW01RZnyoj7MNKFRu0yR1bKql + 5eIm1jwTKyev4Nj0/KoWycjk/BoWi8OP5FA/FULep+BSFBgbizPjmGuLsxLCfF1s7xLF + 9VMpcBJFFPcKsFqMTC6sIbjfyVF8+Sh+r08IR40FhhDeRFGSTeuerZmB1ldQ0BT196Ho + Py/FCY/CmienxY+fngQtxcCQaiHzqHBf19M9iqJbWYjumLT8SiG6ERcsun9uXIgQPLrf + s+iexjlILc6kwnxcbFhcKIpuWi/uqOsY4YyWZtoKuZlWfo76KWrIQWCO+vju1cGz9YXJ + kZ7W88y0wqrnjvPynNKGzoGHM0ubbNX7U37V+17xe/r3yENgvfjw9tXeNla94e7myvz0 + 2GAvJ3Y6qOikVjgD0aYzEJzSZhfXtveNTS2yMxCc0R45jfrReshR/BPLxfs3L3Zxbv5w + sKuxPDeVzkAsjXEGomjtviQ9G6TLi/iMAiwYWPZwNkiL9x/sCkn67acfxm9/R/oj7Brj + L0hxSA6FRY9NtEkRfm7sAgPXrCfPQEDBzsyxYGDxjknLxUXSwPjM4saz56/fnbi8+HZj + T/0GGQXOouhy7/3bF8JpVEtVYQaWbix6BnSxp+iSla6S6A4IPx1Myi6uae0ZmZxb5afm + J66SfoxXyRDovJxBICpIiunx/g6c0tLJoJOVqZ6mMq4vFNw+YNd6Sjg1v2vj4h2KCwzM + UiQGRQa7wjjqVPi9Uw/nxd+QURDEP9mF92t+kTSMc8GirKQIfzd7XLFSWJw8Abl0icL7 + +m1l4UZOVHJ2SW0rLllnVzBNvcbVnnA/TfY7P4BC9uXEwO5J0U2QbXbB2tFQnpeOuwd0 + K0dLlYXF8ZNBohBvqpnjOiksHmI0dPaNTeJ21B4wFN0EufgxV/CXMgK5G2sf3r19uQ9/ + mnk0+KCZpIgMoBkKl92KL1hBIdxVww1zR89AiFFU3fwAtwYX17b3XnCME1ff+GkFBl3o + JTkK5k38Ps7blwfPNpZxc7CnDfcOcCMHsW2G69VTb3Eyl7qljOWbxAiNTcstrWvFHSmE + xlNgvD3t5uB34TjJQHdq372BEpvsblRHY0V+RkIESWGix7YwFN9uhkvRLU66I2Xl6BEQ + kcBumfeOTDxZWt+m2/64UavwjvmFDr3sj+QA6CFFBA8Juk3LIcb62U3z5OhgRIUgBebZ + k2FBgYFNYtwdVKfbzc7ewVHYvqhs7OgDxiK2YLCNRHf+KchP7l58i2MdoRCdiYSgW+bP + NqDE+MCDlpqSnLTYMD833GwWpFAwz9KVH7tTy+43G1vYk09hP6yqsZNhrGEDg7wKcpzG + cTHPUsQAZ8L9cmxf7GJTjEPUltJmUoCHI9uFOWtnj2/DYJtYi/mUf3hcWk5xdRNhiJtJ + kOMsjq8BOWI+PSEZaHplDBCCtpLWsEE5BiVqy/IyErFb7GxrZqTDN2FOkeLSJUp3pC17 + 8ilssQaGxxNGY0fv8MNp2tiDHK+w0c236vm9Z/F6XLBJ5u1ffHSMgiHIGF6/PNh7urmy + gM3i/i5A5GckRQVjJ4ltsirdwIbYqRv3XIwbSiqa2NmzdfYKjEhIzymuahQ2WWm3+4Bx + MD3EADkGwqz7EoMCBCYDbXlj6x4pCLRzvzxHW6xdzTWlgIgO8XXju3p3ztqc5JFBW8VI + PdA3sbBz8QZGWg72ivmG9/zyOjaLBQ5REBbpikBODfhjBKIn0S4xTz94jaje2d5YWXwy + OT7Y04F94jwphIGQfHBWJghlUCANBDveCA1Le1dgxKdlF1ZQ8sHw+OSTxRWBg5JAKI2F + sliEBIqTJHKCHLdcfM5jQUyhoMwDpgMYVimjZaS/u60RqQcZiVEh2F+1xjaxBna7z9y0 + Z2II2SzIF+QY4XFIBCmtaWqXIP8ASRSc4zmyog6l+TjSVJCTJKK5J/9nAHxtYHv1QGBZ + IKTD5trS3Mzj8aFelgaSg/yJYF9AILI1kSL1xaQcWXqUuo6BqaWdixfyWZIzcosr61uQ + 4TU2Mc05dnlWDkCwiS9NLBIM+yKLDABuJE0tomSv5/uIh43VpfmZyYcjyPRqrEbCWlp8 + ZJCPG0HoaqpSutpZWSC0ZGDNwDx15drN2yocA7lFlCB1vxCZau3dcKvHjGMTGVJIzUE+ + BaWpsRSpEzlS3FZBBBGQ/8/yvAAgEsCRCEHIjoIOYBiQdCAfB97E06McrO4SBEX2GfMT + Y2AYSKW9yjC0EeLI86JktZRMZA3WIdGrH4mPSBpc5tlqyBkUUgZZtiMS7sg0ZOqwlC8x + YKSHnlLw5HLuZCl3rxkCEqM215DhRQyDPV2tDUwISlXzcrG3QkzIIBTsS4oA/H9Mt5TT + goRgxAYSBx0R4+ExlMFZWlXf0iHpGxqjBE5kDlL+Jk/flCU/UmYjy31kOAr+EfMfkc2J + TDsx/XEf2bXbm+vIGpydfjw+MgAGpNsVQYi4iGBfpA1Svh1B8NyoL0JcYkl3qH2hvGaW + xGnv4smyaTNyCsuqwdHdNzhKWZzzDGSbpXHKElFZHioyTgmHBnI22eDPkCYo5KJSZi3y + apH2KKairiwtQIYJpNRKiKGiOC8rLSE6NMDblaVwUrbduSFYaCBRHiU8LD3b0BRehTzO + sOiE1MzcInA0tz/oHUBSMPKa5xfhWkJSMOU0C0m1ClKChfRgSgyWywvmacEswZkQeDat + pLOFGCgNNRZZ8x7O9jydVkjgPGulkPcqRDicSprcbMyyzP2CwmMS0sBRWkWZwTy7eXL6 + CeUGr0oTtOXys1lyNiwWB2VqsyxtlqNNKdq7cinas1Dh4egQZTYjI7icGJJjI0P8KdPc + 4gKpzSzCGYaQaK5HGf+OSNIODo9NBEcBahYo05yBPEK6/yxIWLI8Mv2FXHlpqvwLcfC8 + eSTMy/LlN9fXebo8Jf6PA6G3uwNZ5lVlSPtPT46LCglAerYdsv4vkmZOGCzEeQkJSnmo + +sIObuUfAj1SM1A/Qln/HASlF0LhAuX9r7LEf9CwqoWdHaT/CwOPqY6BFS+w2oUV5JYv + CKULyJPv73nQ2dZEGf8FuZlpSbFRoYE+SJW3MTc11NNCVvNFCkmAgXWD6tuQMq+Oah5T + c2t7JP77B1MhDCowClCBwUC6ZUUkVAEzv8hqSHgJycbGxqY48JhqSlCswOtI5uaeQILH + E0IZSVdHa1N9DQp7UH2RinKYEMZga3kXVVZCovxXll+wGKHgoOphsRjGyNQCHG5UlBTF + qmGolKSqtqG5lRX0DLKCHqGeh5fzLNJYkg56xop6qKZnCvZTHcwwL+lBcVJdNdUmsUoY + 1FgFeEMHW0tWQoLaC160cJECKx7jl6UVYiiIIQ6qiKHKpCSqDysoLkN5mFBc1YvSMNRW + jfHaKiqsmkaFknRMT09NCQVW4+OjVC7W3ycRyqtqqsqBgDoxFLxFhqKaxx11e5ZmJga6 + WhpClRgvSzq+Myw/KSl+TF6Fc0PIgaVDVV1LBxzmKNZzpSqx0IiY+KTUe1k5KHQjkoZG + 1Ol1dnWjzorXuaHKbWxsXH6g3o0Xu1GtG5XuUalbIy91Q11VRhqr2QtmFWIONmAwREmS + GiutumiJmNSrhGI3GQev2PPxB0h0XCIv2UPRYQWKDusbm1pa2wCDmkOUHKJwj0Y/BnvQ + izo9sh51h60tzY2sVo+VHWaj7DAJpaCo1vP1QrWeHconjakMVA1lubya9VuqJ7kciA4U + RCsJepiYWVL1pJunjz9cCwWgiUIBKFDKwMLqP6n8E6Wf7fIDhaCsCJTVgFZVlKOgNT9P + LAGNiggNDkBVrqsTqouplBVF0sRARVUXrNWT8zAeHTIOKig2RiWrjT2BePsFCMW4yUDJ + zM6hYlaqxaVS3Orqmtra2jph1NbWoDIXFbkoyKV63LxcFBenp0nLcVEi7e5KxZ+oYqXq + T/gSL48WGL4+IuQoaOmgJZCKWVGgjuJuTW1dqioGiJ2sMBqF3agrTuR10dmgyUVRdGER + RjEf9LAQ1dF5uTn3qTYa5cRJKPSOPloabWVhRhXFvBKXlXh/FwbikXGw6mhW4c1BLK1R + H40SbxSp+6NIPSw8EkXeqFFPSgYOCtTvZdDIzMxk/99DWXcaCtVZnXpMNBVEBwcGiGXq + djYiAlV386poIai/fAYrf9hPfSxwwK+IQ6y210fXCbOjDQPAEgwY0KBbAHoFxMXFiyMu + Ds9hOzUNQM+AINjvi/4H7rKWASZoSIEmDtRXg3o4iPHwnRjk9MBqzhwLkY7+E2jeILQ+ + 4M0bXKj5gRd1P6DWDWjcEBISEioOPA4JpgYOvH+DJ+wnAHuxfQMaUVAfCkK4dUPWLeA7 + MogcQoAIXShU0DxAW0fWSANtQKiPBvogUCsK8Hh5Y/jwQQ+9vIRmGqyXBlqDWKNrgxlv + pYEGFBpMBRYNcCVe7fltMa3IuciviIMinYHAtXhLEGjCeoKYmVtYCj1NqKMJ+pk4ywZ1 + N6HOJtTYhPqamKOBBnq0sLYmmqyHBlTg/Vk4wjeU1ysyX/baERC0ZxEazLAGOdRghveX + Ye1lWHcZa2trGz7wiJrN8CYz1GMGLXPQMEcHXoQWMyqsxQw5Eu/X8C1rnMzaMx7JgyBG + OAnChHrloNkP9foxYL1+0OjH9OgwMTGmjj/UIYf1+0G7H3SW4QRyvWWA8MNkkAOTgjDf + Yp2jSBTyL2pcpKlFrYvQdUlPj9oXiQPPdNGriJovMfP/s62XGA4HoSAhEtaBCd1/qH8X + 64FF7a7U1TWok5RsoB0W64SlSp2jWOsoBW2wfrt4rwm543z+h/g9inaBhFB4QzLWj4za + kcHU44N1JZPrSSb08fr5jbyOYHISAQWqgIX1hkPbNGqwhh5lcgPP2evS/nCs2x31usPR + +BmRcMT0Y09AQqIQCm/UJ9+m75psAJCNI7366A8B8P2XhWNGnvOpgEIwp7ZMpM58WApk + nQbJ/r8LgDwnmcWOL/8HSHJD9gZ97O9ovjwKe8wMPfnPic/9euHXEfh1BH4dgV9H4NcR + +IlH4H8BSQw4sQplbmRzdHJlYW0KZW5kb2JqCjIzIDAgb2JqCjc4MjgKZW5kb2JqCjMw + IDAgb2JqCjw8IC9MZW5ndGggMzEgMCBSIC9UeXBlIC9YT2JqZWN0IC9TdWJ0eXBlIC9J + bWFnZSAvV2lkdGggMTk4IC9IZWlnaHQgMTI0IC9Db2xvclNwYWNlCi9EZXZpY2VHcmF5 + IC9JbnRlcnBvbGF0ZSB0cnVlIC9CaXRzUGVyQ29tcG9uZW50IDggL0ZpbHRlciAvRmxh + dGVEZWNvZGUgPj4Kc3RyZWFtCngB7Zz3W1TZsoade8+YFck5S84555yDgATJOecsTY6K + BMkZFAEzhnFmzj3P+dfuV2vt3d3QDQKjnrnPdf2gneiud39Va+29V1VduvRz/DwCP4/A + zyPw//II/HJ0/N85Bszu/zp9sM/8PZHING78f2P8A+NXJYNex9sCJf7k7wMj2k/GM8sv + X7585cqVq4oDr165fJl9Rkbzn2cRAAT7YTxZfu3a9evXb/BxUzqEF65fv3aNPgQcogEM + E+Y/pgr3IADAGG7/NbL95s1bt25jqKjcwVAVBz1RUVGhd27dvInPMRrOAicjlB9OwjVg + BAyA7GfWw3RVVTU1dXUNDE0MLT7oIb2koa6mBrA7hHMLMNdJGJJFEOXHkQgiQAPyIA6A + Y39HlRmvqaWtra2jq6uHoc+GAf+PXtDV1dHR1tbSApE6aAhGQGEkTJMfAcIQuAhXGAHc + hw4/jrwWjIflBoaGhkbGJhimpqZm4sBjesnYyMjQ0EAfPETDWIACVUgUTvLdfUuGQCLA + iYhATV1DU1tbl8wn403NzM3vWlhaWlpZWVvbyA1raysrS0sLi7vm5mamwDE01CcW6KJO + ohDJjwDhDHAkIFxHGBCBhoYWARgaGpuYmpvDeBhua2dv7+Do6OTk5Ozs7CIMZ2c8d3J0 + sLe3t7WxsbayBI2ZiYmRoQGhMBLyLkgiKvLL91hMaE2j+eiyFEGdERgAwMz8rqWVjY0d + jHdydnF1c3f38PT08vLy9vYRh7e3N17w9HB3d3N1AZGDvZ2tDViAYkwuRiRqqioCCKKd + pq1vDCLKgHCGI5EK6praOtAABARga+9A5sN4L29fXz//gIDAoODg4JCQUIww+ickJCQ4 + OCgoMCDA39fXx8vLw90NMI72djbWlnfNTU1IExnI9xBEykAySBEMjKABCOzsAeDuAfP9 + AgKCgkPCwsIjI6OiY2JiYuPi4sURFxcbGxMTHRUZGREeFhocHBjg5+sNFlcXyMJIoIk+ + B7l9i3kWF+Rb6cF8iVwJMtxWQSxo6egBAVFgY+fg5OLmDgD/AJgfHhkVExMXn5CUlJyS + eu9eWnp6eoY48Dgt7V5qakpyUmJ8fGxMdGQEYMDi4+Xh5gJR4F7mphwE0Q7PQoR8Ow45 + hpvwJMxHHMHKxt7R2dXdy8cvICgkLCIK5icmpaSmpd/PzMrOeZCbl5dfUFBQWFhEo7Cw + EE/y8/Jyc3KyM+9npN9LTU5KiANLOFD8fRmJva01A9HT0dJQu0OCfCsOcibENOkABiaD + IUIBCE4u7p7efgHBoeGRMXEJSSn3YH7OA5heVFxSWlZeUVlZVV1dIzeqqyorK8rLykqK + iwoKgJOVmZ6WmpwYHxMVEQYSHy93VycHAjExMoBnqauqiBwU53/h5Pc4g7YueZIlQ/Dy + 8Q8KAUF8IgAysx/kFRQWl5ZVVFbX1NbXNzY1Nbe0YrS1tbW3t+PfNjxpaW5qamyor6up + qaosLyspIpbMjLTUpPjYaJAE+BKIva3VXTNjQz15DsxXFw8PcibocOXqdaYDXMkYMtg6 + OLt5AiE0IjouMfleRmZOXkFRSVlFVU0drG9pbevo6OzuftjTI5H09vb28YFHEomk52F3 + d1dnRzvxNNTXVFeWlxYX5D3Iuk8kMZEcxMXJ3gaCGOrpQA/41bWrl9m8ezE5BCHAcIN8 + iTFYQAZXD28gQISk1HQiKC4FQH1DU0sbrH8okfT19w8ODQ2PjIyOjo6NjY3TwP94Njoy + PDQ0ONDf1yvp6e4CTHNjfW11ZVlxIUgy7iUnxDIQTzdngYP0QJyz8LiYHATBA+KWiqoG + fMnYjFzJzcs3ICQimhCyHuQXgaC2ngC6Hkp6+wdg/OjYxMSjx5OTT55MYUxLBz17Mjn5 + +NHExPjo6PDQYH8fWDrbW5sa6oikIC/7flpyAhQJ8vN2d3GwZXpoU5wjzC8oB49q5kyq + 6lo6+ozB2d3LLygsMjaREAqKyyprQNDe2Q2AwWGYD+ufTE3PzM4+nZufn19YXFxcEgce + Lyzgxbmns7MzU1OTk48AMzw00Cd52NUBktqq8pKCvJz795Lio8ODA3w8XBxFDgrza5h1 + KTrOF+QEgROmazeYEHqGpvAlZ3dv/+Dw6PjktMycfCDUNjS3dnRL+gaGRsYmHk+S+TAe + hi+vrK6urq2vbxwd6+tra6urK8tLS4sLRDM9NfmYUAb7JQ8721sa66oBkpuVnpoYExkS + 4Ms5jA10tdRFOc7nVaI3ISIghK6hibm1vRMxRMQkpGZk5xWVVtY0NLd1PuztHxodn5h8 + Mj37FId+CdbD9M2t7e3tnZ1nz54fG8+e7eCdrc2NjfU1ogELdIEqo8MDfT3dHa2NdVVl + xfk5mfeS4iJDicPBxsLUSE9bA9FBiwedXJ1ZDkCwNQJTEyJC38jM0hbxQAyJqfchQ3l1 + fRMQ+gaGRxnB3Dwd/nUyfwem7754sbe3t79/cPBSfhwcHOzv440Xu8+fE84msRDKUyIZ + Gxnql3R3tDTUVJYW5GamMQ4fd2d7a3MTA11NtTvMq86BwSGuXIU3qWlCiLs2Di6evsHh + xPCgoLSythGO1DswPDYxOQUfWlpeXdvYJPt3Yf0+TH/16vUbGm8x3gkDD9lrr1+/AtoB + cACzs721sb66ApLZ6SePx0eH+nu62prqq8qK8rKII8Tfy83J1tIMqwfkYHPumdVgSiAk + bt9R19YzNLO0c3L3CQiLTuAMdU1tXRI40sTk9CyCABJsbQMA9sN8WA/D32McHn748OGj + /MDzw8NDvPXuHYAIBiwvSJbNdWgCSQAyMtALQeqrOUdMRLCvpwvkMNYXvOryWWNcCkHe + ZIyIcPHyC46MT8nIgQ71ze3dvYMjpML84vIqNHj2/AUBkP0wH7bD8k+fPn3+/Jvi+Pz5 + 86dPeB88RMNYDogEmqytAGR6coIE6WhtqCkrys1MTYgOC/B2c7KxMDHU1WTBcUYMOQgd + AxMLGyc378CwmKS07PySyrrmju6+odFHT2bmCGFrGxrskwIAOGTmc+O/fPkd4w+FgRe/ + fPlCdIyGWCDLq5dEsrPNQGanHo8P9/d0tjZUlxXkZKTERQb7ejjbwasQHCqI8TNhsLWO + 3ElVQ8fQFN7k4QshUjNziytqm9rBMPYYMsCRGMIBNHhLCuDw4+CT9cz0PzH+qTjoZfY+ + 0TAW6EKiwL/2Gcjq8gIJAg74VVVJflZaIuTwcrG3MjfS05JifGWiOgZh7+LpHxqdmJZd + UFbd2NbdSwxPF5bXNraf7e4RAtOAAXD7mfH/c/oAHacBC6nCSN5CEgLZXF9ZmpvhHE21 + FUUPMpJjwwO93RyspRg04Z6KQdMTbg5wJcwsERIBYbHJGbnFlXUtnZLBUTAsrqxv7jwX + EOBFJAEJcMT+f50yRERiwd8JJPAugBzs7T7b3lhdmp+ZHB/q625rqC7Nz0yNh1e5O9qY + GzM1sIz/4/RlgyAuixBW9q7egRHwpvzS6sb2h/0jj6DDyvoWZHj5CirAjT7LCLhxyqz/ + t7IXBRagCCSfPhLIaxKEOOamJ8cGJR3NteWFOWmJUcBwsoEaCHE6GzlVDOZPV6/fuqOh + bWBqCYigyPh72QXltc2dkqHxydl5Ynix//L12/eHIgJpwExStPXfwlB8R3iFozBNSBKA + IEYgCHEsPp16NNLf3VpfWfwgPSk6hGPQTHWDRfjJPsX86dpNFXVtAxMOkZCWU1hR39rd + P/p4en5pjTEwGeBI8CMgnKzBv0SKU2HY3zNJAIIgORQ5VhZmmRyN1SV5GUkxwHC0NjfU + 0VC9df3qaT4l+pOalp6JhZ0LlABEcWVDe8/g2OTs4urGzu7+S4GBYkFAUHaojwEce3rs + L+RASJDD99DjxbOttaW5qYnh3s6mmtK8+wzDwcrUQFv9zs1TfeoXXFDAn1Q1dI3u2jp7 + ISYAUdXYIRmamJpbWt9+vkcMHz9xGbgjHTPomLknPD32R3jKQRAjEIRz7O/ubKwszDwe + 7e9qrikDRnSwr6u9pYm+ttqpPiX6k4a2obmNk2dAePw9BtE7/Gh6fmVj58UB4uGDyMB+ + V8GcE8xW/vLRv6YvpBDhHO/evNx7DjlmJ0f7u1ugRkZSVLC3i91dY0Q49ynlkcGkuHFb + TUvfxNLB3S8sNjW7qLKxo3fkMbxpi4TAtPSZhQOLhiNGKLfz9FePfAGeMA5MWozj/dtX + +7vbG0tPn4wxjNz0hMgAT0xUCA2Vm9cu/6p8thWkuEP+ZOfiExyTkllQ2cAglta2d/df + vz0EwxcxHI6YcLq1p7x75FvkOH77/PEDybGzsTxHGM01xTn34sP83B3gU1o0T50w24pS + aBuYWTt5BkYm3s8vr2+XDD+eRUi8YELQ6sBD+tivn2LnGd6S/zLuV3/8QeHxHhjPNlbm + noz2dzZVFWWnxgT7uNjeNdLVQIBf/ofSBRwUl6/dvKOhZ2xh7+YbFp+WW1rb2jP0aHaR + QyCqMS+xmJb/2TNY+bWPyH+doAfcCnIcvn219xxqTI72dTRUFNxPgk85WpmeJgaWbaza + apDCxskrODolq6iquXtwYnphjSnBIkKJEF8z8UzvK3DQkv7lNywer6DG0uzjYUlbXWlu + WlyYr6sdiaGCpU+ZGCTFVUihS1L4hSdk5JU3dPSNTc2vcghpRBz5xTPZeLYPyX2v4Fa/ + //bpA8eYmRjsbq4qykqOCvR0YmLcun7lVyUuBYorWCu09E2tnbyColNzimtaJcOTT1c2 + nx+8ef8REIpCnM28M35KjoK5FU1WhPFyb3t1fmqsv6O+PC89PszXxRbTlDqPb4UbO78w + h1LHWmHniqjIyK9o7BqYmFnaeLYvByH7qTPadp6Pyb5cDuPwzcvdrZWnk8M9rTXFWYgM + mqb0EN80SylQsLBAbGOt8AiITM4mKUYmyZ9evfv4WVBC9jvnse7sn5V9Py0eTA3MVPvP + 1henxyFGWV5abLC3s42ZgZbqLaWzFM1QokMFx6YhKjqZFPCnw0+/cXeS+5GzW3bOT8p+ + g2EgxN+9fLG9Ojc5/LClqjAzgcW3obb6baWBQWGBGcrQ3NbFNyzhfkF1S8/w5Nzq9t4r + BMXvf9BqLf2Bcxp2ro9Lf0RQ48unQybG1Fhfe11JTgpzKWM2SymG9y//9euVG7fVdYws + 7N39I5Oyi+vaMUEtbjApvhyFOH7KfS4rv/rhoxiIcIixu7U8+2iwq7E8715MsJeTlYme + 5h1avo+fSoHi6g0VCgtHj8Co1Adl5FCzy5u7L99Bij/llfi+EEQpglBs/PHbp/evaZpC + fDdXFaTHhVBgYOGj8FZKQcFthSUvJi2voql7iDnUazgUSSF+81eP5bf4gPhjRPHlM3Op + halRSWtNEQUGzbXaFN4KkxRpcfOOpr6pjbN3SGx6QWVLz8iT+bWdPcQ2cyjhi7+FjV// + DpECsfHn7799ePvy+cbS9Hhfe21xVmK4n6sdKNRu0yR1bKql5eIm1jwzGxef0PiMgupW + yejUwjoWi8PP5FA/FELep+BSFBibS7MTmGtLspMi/N3s7xLF9RMpcBJFFPcLsVqMTi2u + I7g/yFF8/Sh+q08IR40FhhDeRFGaQ+uevYWRzjkoaIr6+1AMnJVCwaOw5slp8f2nJ0FL + MTCkWsg8KtLf/WSPouhWFaI7Lr2gSohuxAWL7h8bFyIEj+6PLLpncA5ShzOpCD83OxYX + yqKb1os7mnomOKOlmbZSbqaVn6N+iBpyEJijPn94c/B8Y3FqtLftLDOtsOp54rw8t6yx + a/DR7PIWW/X+kF/1vlX8nvw98hBYLz69f7O3g1VvpKelqiAjPtTHhZ0OKjupFc5AdOkM + BKe0OSV1Hf3j00vsDARntEdOo763HnIU/8Ry8fHdqxc4N3801N1UkZdGZyDWpjgDUbZ2 + X5KeDdLlRWJmIRYMLHs4G6TF+3d2hST99pMP419/R/oj7BrjT0hxSA6FRY9NtClRAR7s + AgPXrIpnIKBgZ+ZYMLB4x6Xn4SJpcGJ2afP5y7cfFC4v/rqxJ36DjAJnUXS59/H9K+E0 + qrW6KBNLNxY9I7rYU3bJSldJdAeEnw6m5JTUtvWOTs2v8VNzhauk7+NVMgQ6L2cQiAqS + YmZioBOntHQy6GJjbqCtiusLJbcP2LWeCk7N79q5+YbjAgOzFIlBkcGuMI46FX7vxMN5 + 8TdkFATxT3bh/ZZfJI3gXLA4OyUq0MMRV6wUFoonIJcuUXhfv60q3MiJSc0prWvDJevc + Kqapt7jaE+6nyX7nO1DIvpwY2D0pugmywy5YOxsr8jNw94Bu5eios7A4fjJIFOJNNUtc + J0UkQozGrv7xKdyO2gOGspsgFz/mSv5SRiB3Y+3Th/ev9+FPs4+HHraQFNFBNEPhslv5 + BSsohLtquGHu7B0MMYprWh7i1uDS+s7eK46hcPWNn1Zi0IVekqNg3sTv47x/ffB8cwU3 + B3vbce8AN3IQ2xa4Xj3xFidzqVuqWL5JjPD49Lyy+jbckUJoPAPG+5NuDn4TDkUGulP7 + 4R2U2GJ3ozqbKgsyk6JICjMDtoWh/HYzXIpucdIdKRtnr6CoJHbLvG908unyxg7d9seN + WqV3zC906GV/JAdADykieEjQbVoOMT7AbpqnxoYiKgQpMM8qhgUFBjaJcXdQk243u/qG + xmD7oqqpsx8YS9iCwTYS3fmnIFfcvfgrjnWEQnQmEoJumT/fhBITgw9ba0tz0+MjAjxw + s1mQQsk8S1d+7E4tu99sauVIPoX9sOqmLoaxjg0M8irIcRLHxTxLGQOcCffLsX3xApti + HKKujDaTgryc2S7MaTt7fBsG28Q6zKcCIxPSc0tqmglD3EyCHKdxnAfkiPn0hGSg6ZUx + QAjaSlrHBuU4lKgrz89Mxm6xq72FiR7fhDlBikuXKN2RtuzJp7DFGhyZSBhNnX0jj2Zo + Yw9yvMFGN9+q5/eexetxwSaZt3/10TEKhiBjePv6YO/Z1uoiNosHugFRkJkSE4qdJLbJ + qnIDG2InbtxzMW6oqGljZ8/e1Sc4Kikjt6S6Sdhkpd3uA8bB9BAD5BgIs+5rDEoQmAy0 + 5Y2te6Qg0M79yjxtsXa31JYBIjbM34Pv6t05bXOSRwZtFSP1wNDMysHNFxjpudgr5hve + Cysb2CwWOERBWKQrAzkx4I8RiJ5Eu8Q8/eAtonp3Z3N16enUxFBvJ/aJ86UQRkLywWmZ + IJRBgTQQ7HgjNKwd3YGRmJ5TVEnJByMTU0+XVgUOSgKhNBbKYhESKBRJ5AQ5brn4nMeC + mEJBmQdMBzCsUUbL6EBPexNSDzKTY8Kwv2qLbWIt7HafumnPxBCyWZAvyDEiE5AIUlbb + 3CFB/gGSKDjHS2RFHUrzcaSpIIokormK/zMAvjawvXogsCwQ0mFrfXl+9snEcB9LA8lF + /kSoPyAQ2dpIkfpqUo4sPUpTz8jc2sHNB/ksqZl5JVUNrcjwGp+c4RwveFYOQLCJL00s + Egz7KosMAG4kTS2iZK+X+4iHzbXlhdmpR6PI9GqqQcJaemJ0iJ8HQehrq1O62mlZILRk + YM3APHXl2s3bahwDuUWUIPWgCJlqHT1wqyeMYwsZUkjNQT4FpamxFCmFHCluqyCCCMj/ + Z3leABAJ4EiEIGRHQQcwDEo6kY8Db+LpUU42dwmCIvuU+YkxMAyk0l5lGLoIceR5UbLa + vSxkDdYj0WsAiY9IGlzh2WrIGRRSBlm2IxLuyDRk6rCULzFgpIeeUvDkcu5kKXdvGQIS + o7bWkeFFDEO93W2NTAhKVfNxc7RBTMgglOxLigD8f0y3lNOChGDEBhIHnRHjkXGUwVlW + 3dDaKekfHqcETmQOUv4mT9+UJT9SZiPLfWQ4Sv4R8x+RzYlMOzH9cR/ZtTtbG8ganJt5 + MjE6CAak2xVDiISoUH+kDVK+HUHw3KivQlxiSXeofaG8ZpbE6ejmzbJpM3OLymvA0dM/ + NEZZnAsMZIelccoSUVkeKjJOCYcGcjbZ4M+QJijkolJmLfJqkfYopqKuLi9Chkmk1EqI + obIkPzs9KTY8yNedpXBStt2ZIVhoIFEeJTwsPdvYHF6FPM6I2KS0rLxicLR0POwbRFIw + 8poXluBaQlIw5TQLSbVKUoKF9GBKDJbLC+ZpwSzBmRB4Nq2kq5UYKA01HlnzXq6OPJ1W + SOA8baWQ9ypEOJxKmtxsyrLMA0Ii45LSwVFWTZnBPLt5auYp5QavSRO05fKzWXI2LBYH + ZWqzLG2Wo00p2i/kUrTnoMKjsWHKbEZGcAUxpMZHhwVSprnVBVKbWYQzDCHR3IAy/p2R + pB0aGZ8MjkLULFCmOQN5jHT/OZCwZHlk+gu58tJU+Vfi4HnzSJiX5ctvbWzwdHlK/J8A + Ql9PJ7LMq8uR9p+RmhATFoT0bAdk/V8kzZwwWIjzEhKU8lD1hQPcKjAMeqRlon6Esv45 + CEovhMIFyvtfY4n/oGFVC7u7SP8XBh5THQMrXmC1C6vILV8USheQJz/Q+7CrvZky/gvz + stJT4mPCg/2QKm9naW5soIOs5osUkgAD6wbVtyFlXhPVPOaWto5I/A8MpUIYVGAUogKD + gfTIikioAmZhidWQ8BKSzc3NLXHgMdWUoFiB15HMzz+FBE8mhTKS7s625oZaFPag+iIN + 5TBhjMHe+i6qrIRE+XOWX7AYoeCg6mGxGMbE3AocHlSUFMOqYaiUpLqusaWNFfQMsYIe + oZ6Hl/Ms0ViWDnrGinqopmca9lMdzAgv6UFxUn0N1SaxShjUWAX5Qgd7a1ZCgtoLXrRA + cX18U1U+npU95jF+WVohhoIY4qCKGKpMSqH6sMKScpSHCcVVfSgNQ23VOK+tosKqGVQo + ScfMzPS0UGA1MTFG5WID/RKhvKq2ugIIqBNDwVt0OKp5PFG3Z21hZqSvoyWtErtY0R55 + Fc4NIQeWDnVNHT1wWKJYz52qxMKj4hJT0u5n56LQjUgam1Cn19XdgzorXueGKrfx8Qn5 + gXo3XuxGtW5Uukelbk281A11VZnprGYvlFWIOdmBwRglSRqstOqiJWJSrxKK3WQcvGLP + LxAgsQnJvGQPRYeVKDpsaGpubWsHDGoOUXKIwj0aAxjsQR/q9Mh61B22tbY0sVo9VnaY + g7LDFJSColrP3wfVeg4onzSlMlANlOXyataLCcF9jMuB6EBBtIqgh5mFNVVPenj7BcK1 + UACaLBSAAqUcLKz+k8o/UfrZIT9QCMqKQFkNaHVlBQpaC/LFEtCYqPDQIFTluruguphK + WVEkTQxUVHXBWj25MOHRIeOggmJTVLLaORKIb0CQUIybCpSsnFwqZqVaXCrFrampraur + qxdGXV0tKnNRkYuCXKrHzc9DcXFGurQcFyXSnu5U/IkqVqr+hC/x8miB4bxhLceAh0wO + XsyKAnUUd2vr6lNVMUAcZIXRKOxGXXEyr4vOAU0eiqKLijFK+KCHRaiOzs/LfUC10Sgn + TkGhd+zR0mgbKwuqKOaVuKzE+5swEJGMg1VHswpvDmJti/polHijSD0QReoRkdEo8kaN + ekoqcFCgfj+TRlZWFvv/Psq601GozurU42KpIDo0OEgsU3ewExGouptXRQtB/fUz2KMH + /oRnAgf8ijjEantDdJ2wONowACyhgAENugWgV0BCQqI4EhLwHLZT0wD0DAiB/f7of+Ap + axlghoYUaOJAfTWoh4MYD9+IQU4PrOas8wEiHf0n0LxBaH3Amze4UfMDH+p+QK0b0Lgh + LCwsXBx4HBZKDRx4/wZv2E8AjmL7BjSioD4UhHDrhqxbwDdkEDnoHFHWhUINzQN09WSN + NNAGhPpooA8CtaIAj48vhh8f9NDHR2imwXppoDWILbo2WPBWGmhAocVUYNEAV+LVnn8t + ppW5FvkVcUhB4Fq8JQg0YT1BLCytrIWeJtTRBP1MXGWDuptQZxNqbEJ9TSzRQAM9Wlhb + E23WQwMq8P4sHOH8pxvKrFby2hEQtGcRGsywBjnUYIb3l2HtZVh3GVtbWzs+8IiazfAm + M9RjBi1z0DBHD16EFjNqrMUMORLv1/BX1jglZiu+JA+CGOEkCBPqlYNmP9Trx4j1+kGj + H/Ojw8zMlDr+UIcc1u8H7X7QWYYTyPWWAcJ3k0GORwrCfIt1jiJRyL+ocZG2DrUuQtcl + AwNqXyQOPNNHryJqvsTM/8+2XmI4HISChEhYByZ0/6H+XawHFrW70tTUok5SsoF2WKwT + ljp1jmKto5S0wfrl3Ofecof3Ag/xexTtAgmh8IZkrB8ZtSODqccH60om15NM6OP14xt5 + HeHlJAIKVAEL6w2HtmnUYA09yuQGnrPXpf3hWLc76nWHo/EjIuGI6ceegIREIRTeqE++ + Td812QAgG0d69dEfAuDbLwvHjDzjUwGFYE5smUid+bAUyDoNkv1/FwB5TjKLHV/+D5Dk + huwN+tjf0Xx5FPaYGar4j8Lnfr7w8wj8PAI/j8DPI/DzCPzAI/C/umc4rwplbmRzdHJl + YW0KZW5kb2JqCjMxIDAgb2JqCjc4MjcKZW5kb2JqCjI0IDAgb2JqCjw8IC9MZW5ndGgg + MjUgMCBSIC9UeXBlIC9YT2JqZWN0IC9TdWJ0eXBlIC9JbWFnZSAvV2lkdGggMzMyIC9I + ZWlnaHQgMTI0IC9Db2xvclNwYWNlCi9EZXZpY2VHcmF5IC9JbnRlcnBvbGF0ZSB0cnVl + IC9CaXRzUGVyQ29tcG9uZW50IDggL0ZpbHRlciAvRmxhdGVEZWNvZGUgPj4Kc3RyZWFt + CngB7d35N1tbGwdwrSEyD0KIhEgEIUSImRqiqdSQltKgxqBNzSo1K0WVGmqqqZSWaqlW + q9Xbe9v1/mvvsxO3k+Tce354f3mznx+6rLW3ruXj2eecWOs8XxcXXFgAC2ABLIAFsMD/ + n8A5XPYEyP2i4X84/6NccZ0K/DBBxv/C1OYI3+3m5o7rrICbm5urK1L9R06rpI3Rg0Lx + tBUVF5V6akGheHgAsM2TqDlPJd3dPYCRSqPR6XQGg8HEhQRAAjzoNMRKAU831J0OTzqi + dIWTDZDAyGCyWGwOh4vruwCHzWaxmAzw/K7poDWtlNCTSJLJYnO5PC8+39vbx0eACwR8 + fLz5fL4Xl8thsRh0qyZqTfudiboSNSWS5ICjj8DXTyj0F4nEuMRikcjfXyj0FQi8wZNj + 1fSwnnN7mFZKuFDSGSAJkKAoDpRIgqQyXFYBaZAkMEAsEvoJvL24bCad5mnFtHfKz6Fr + JYUKTcn18vEVgqNUJg8JDVMowsMjnL3Cw8MVirCQkGBZkCRAJBTweRyWDdPeKYe2BEpP + OpPN5QuEYok0OEQRroxUqdRqdQyuGLU6WhWljABQWVCAyM/Hi2vDdLVzxYS2hNsOzUrp + HyiVh0VEqtSxcfEJSclQKc5diCApMSFeE6NWKRUhMolYaMWkerq72WnMc9CWnjQGi8v3 + 9Q+UhUZEqTUJSSlp6RmZWq0229kLDLIyMy6kJSfGxUZHKkKkCBOOuSfF/Wxj2tqSDpQC + f0mwIlKtSUxJz8zW5ehz8/ILcOXn5V3WX7qozUhLTohVRVgxeWwmDTXm73dyZAknnAOU + gcEKVWxCaob20uV8Q2FRcYkRl9F4vaS48KohT6/LupAcp1YCpp83XDKpHtCYv/2ZA464 + hyedxfMRBsoUUZrk9Gx93tVrxvKKyupak6nO2ctkMtVUV5aXlhQacnVZaQmAGST25XNR + Y5455OfOw/MQtKWvWBoaGZucocu9UlxaUW1qMDc2Nbe0Onu1tDQ3mW/W11aVG4sK9FrA + DJdLhD48uGJ6nDnk6IjD1dJHKJFHqBPSdXmFxhs19eam1o5OS1c3ru4uy532lsabpqry + YoNem6qJCpNBY3IYVIp9S7aXQCwNi9KkZgNlpcnc3G7p7hsYHBrGNTw02N9z905rY0PN + jWJDTkaSOiIEGpPLolHcf7/5nHf1oDI4fL/A4Ah1Yob+irGy7narpXtgaGRsfGIS18TD + 8dHhwb6ujuZbteXX8i+mxUWFBYkEXnDI3V1/u5HDrYfKREc8JFKTos0vvmG63WbpGxod + n5yefTyPa252Zmpi7P5g952Wm9WlhfqMRHU4OuRsZPnbjfy8G4XG4glE0jBVwoVLV0tr + brVa+oYfTM7MLS6trKw6e62sLC/Nz049HB3sudNUX1mcp02JVcoDhd4c+5Z0FlwuZeHq + pMzL1yrqm4ByfOrx4vLa081nW85ezzY311eX5mcmRge72801pQZdWpwqVOLvw2VQPc72 + JdzG+X4BcmUsHHFjtbm9+96Dqbml1Y2t5zu7L529dndebG+uryzMTIwMWJrrK+CQJ0Qr + pCIBl0mFh6JfH9bPwyMRm48ul3FpOkOZqcnSPzL5eGltc3t37/X+gbPX/v6rvZ2tpyvz + 0+PDPe23qotzs5LUCplYwGPS7FpywDJUlZCeU1jR0No9ND6zuLr5/OX+weG7I2evd28P + D17tbD9dnns02t/ZWGvM1ybHRgSLfXnwUGSnLxkcb2EQ3Hoy9EWV5o7ekcm55Q2gPDx6 + f4zrw9G7N692ttaWZsbvdTXXlRkupmqU8gA/eCiya8n19pcqopMyc4urb3f2j00vrG3t + vj48Ov508tnZ6+Tk44d3B3svNpYfTw73tDaUX4WbjzIk0LGlj0iqUCdr80pqmyyD4zNL + T5/vvXl3/OnzF1x/nHx8/3Z/99nq/KPRvvabFYWXLsRHwicfvqO+9BHBIxFYXjc1dw1N + zC5v7rw+fA+Uf3119vrrzy8nx0cHe9vri9Nj/R3mysKc9ISof2GZbzS1dA9Pzq08291/ + d3wClN+cvb5+/fOPT+8PXz1/ujTzYOCOubJI79AS/uTmyeCe9qUdy/84d337RsbS1R0+ + jsPHnoiYlOwCY10r9OX86tbLg6Pjz1++fnNuSfjpv33968vJh8PXLzaezI4Pdt6uugYP + 6/DBR2j9QP7rs/o5bEnYL9iSkIfUIrYkxUW4GVsS8pBaxJakuAg3Y0tCHlKL2JIUF+Fm + bEnIQ2oRW5LiItyMLQl5SC1iS1JchJuxJSEPqUVsSYqLcDO2JOQhtYgtSXERbsaWhDyk + FrElKS7CzdiSkIfUIrYkxUW4GVsS8pBaxJakuAg3Y0tCHlKL2JIUF+FmbEnIQ2oRW5Li + ItyMLQl5SC1iS1JchJuxJSEPqUVsSYqLcDO2JOQhtYgtSXERbsaWhDykFrElKS7CzdiS + kIfUIrYkxUW4GVsS8pBaxJakuAg3Y0tCHlKL2JIUF+FmbEnIQ2oRW5LiItyMLQl5SC1i + S1JchJuxJSEPqUVsSYqLcDMpS6J3nr/iF3Udv/PMdjBvw/H74/hd/H//Lr7LL31pmxHx + eAXPiPh7NsaPGRFLpzMiHM81+G750+yS2ScbMLvk6Pjkjy9/Ont9+fL50wc0u2RtYWq0 + r+NWRWHOP8wusc3UyYKZOo2dAw+mF9e3dvcP3x+f4Jk6Jyefjo/e7L3YXJmbvN/T9mOm + jqM5MBxv/yCFCqZfXqsyd/SNTs6vbD7f23979OH4o7PX8fH7o8PXO9vrS7PjQ10t9WUG + HeGsJ/qvM8iGYUDR2rMXe/uHb519Ahn8/O8O37ze3d5YmZ8aHbA0mYwF2SnWGWRe9meQ + /ZiNd6W8rtkyMPpo7sn6s+cvX+0fvHH2Otjf39vZ3lhdmHk43Nthri7Jy0qOgWGiApjn + Znc2HhtG3IYoYVpwvrHG3NEzND49/2R9c/vF7ss9Z6+XL3eew5jBpdnJ0cG7LQ0VRTAG + Rm2b2WjH0g3mX3r5ioNhcBbcfCobWix998en55ZW1jefbePa2txYW16YnRy719Nxu7bs + CowgU4UGOZolap1xK5YpotHQxrJac1vXwP3xqdn5peXVtXVnr7XVlSeLc9OTY0N9nc0N + lSX52SkaNM7Nm8OwOy8YzV72DwpBI8ELSirrmtq7+ofHJqZmHs8vLDp7LczPzU5Pjo8O + 9lpazTVlhfpMGAoeHOBnf/aybSa4MFCuVCdl6AuNVQ2N7ZbeweFRGAn+aMrZ69GjyYcP + Rob6uztbzaYbxQW6tHiVQip2MBMczf1nQ4SCTKGKS7uYV1RaXX+79c7d3v57w/dHRp29 + RkZgWH1ft6W96Zap4voVfWZyjPJ0Vr2nnVn1KEOBB4EzIUoYVn8pv6i00nSzsbW98253 + T2+fs1dvL4QodLQ1m+urb1y/mpuN2lIm9oNwD+rZuf8o24PG5PL9xDIIUUjJvJRXWFJe + ZWq4dbuppbWt3dmrra21BcI96qorSosNly+mJ6IIBX+BF4yqP5vtYcucYfMEQkhCUsWn + ZOguGwpLSm9UVZvq6htwNdSbaiF0xlh8NV+vvZAUGxkKAT58CPCxmzmDIrqgMQUiiTxc + pUlKy9Lp8wyF10qMpWXluMrLjNeLi64W5OZkZ6QmxESGyQIgcoYNMSlnIhRcUK4UBBqi + uDMrZkx8clqGVpdzOS/fYLiCy2AoyMuFkK6s9NREjVqJKAVeHCa05ZnIGRfAtGbHsXne + fmKJXKFUxcYnoui4LG22DpdOdzFbm5VxITU5QaOOighFlOiEW9vyt5gUZIkyDSEekucN + nSkLAU2INExIhDzD1DRcaakpyUko1DA6KiJMLrVRMlB03JmILmSJsjYhtpQDmP4BoBkW + roxSRatjYjW4NJrYWLVapYKwzVC5FOIhUVcCJUqOO9OWLi4omdjDEzCtwaWiAGsEbJgC + 8l+VuEAgAlJgQ1EIbKBY6IuSNoGSYjdq87QxrZgQqOst8BOiaGKUTBwcLMclDw6WyaQS + SYDYHyRP43Qp7tCW9voSxY+7uUPQM40O4eOnkdmQ9QwVgCsAGEQQmu2HQrN5XBYTJZA7 + orQ2JiQ9Qz4xFWKz2RwU5X4a5O6LC4LHIc4d0ty9eJA+jgKzbYnZ5+1FZkOcDzQmys2G + 1qTS6Qwmiw2gXC6Px/PCBQpgweWwWSwmg06zNiXcwh1RAiZootYETU8qjQ6gDCaThcsm + wGSCIh0cqagn0cMQAaUN87yrldODAqBACkXDBQJIAolQKAD5j5Iotesc6k3ECe1pLQ9c + 3wVsIm5urqglHR/vn9LPbJxot+tpueH6mwKxQIGRnUf0nxB//hJttpbte/G/p4BWk5+h + 8NdYAAtgASyABbAAFsACWOB/JfBfm+tCMAplbmRzdHJlYW0KZW5kb2JqCjI1IDAgb2Jq + CjMyODgKZW5kb2JqCjMyIDAgb2JqCjw8IC9MZW5ndGggMzMgMCBSIC9OIDEgL0FsdGVy + bmF0ZSAvRGV2aWNlR3JheSAvRmlsdGVyIC9GbGF0ZURlY29kZSA+PgpzdHJlYW0KeAGF + Uk9IFFEc/s02EoSIQYV4iHcKCZUprKyg2nZ1WZVtW5XSohhn37qjszPTm9k1xZMEXaI8 + dQ+iY3Ts0KGbl6LArEvXIKkgCDx16PvN7OoohG95O9/7/f1+33tEbZ2m7zspQVRzQ5Ur + paduTk2Lgx8pRR3UTlimFfjpYnGMseu5kr+719Zn0tiy3se1dvv2PbWVZWAh6i22txD6 + IZFmAB+ZnyhlgLPAHZav2D4BPFgOrBrwI6IDD5q5MNPRnHSlsi2RU+aiKCqvYjtJrvv5 + uca+i7WJg/5cj2bWjr2z6qrRTNS090ShvA+uRBnPX1T2bDUUpw3jnEhDGinyrtXfK0zH + EZErEEoGUjVkuZ9qTp114HUYu126k+P49hClPslgqIm16bKZHYV9AHYqy+wQ8AXo8bJi + D+eBe2H/W1HDk8AnYT9kh3nWrR/2F65T4HuEPTXgzhSuxfHaih9eLQFD91QjaIxzTcTT + 1zlzpIjvMdQZmPdGOaYLMXeWqhM3gDthH1mqZgqxXfuu6iXuewJ30+M70Zs5C1ygHEly + sRXZFNA8CVgUfYuwSQ48Ps4eVeB3qJjAHLmJ3M0o9x7VERtno1KBVnqNV8ZP47nxxfhl + bBjPgH6sdtd7fP/p4xV117Y+PPmNetw5rr2dG1VhVnFlC93/xzKEj9knOabB06FZWGvY + duQPmsxMsAwoxH8FPpf6khNV3NXu7bhFEsxQPixsJbpLVG4p1Oo9g0qsHCvYAHZwksQs + Why4U2u6OXh32CJ6bflNV7Lrhv769nr72vIebcqoKSgTzbNEZpSxW6Pk3Xjb/WaREZ84 + Or7nvYpayf5JRRA/hTlaKvIUVfRWUNbEb2cOfhu2flw/pef1Qf08CT2tn9Gv6KMRvgx0 + Sc/Cc1Efo0nwsGkh4hKgioMz1E5UY40D4inx8rRbZJH9D0AZ/WYKZW5kc3RyZWFtCmVu + ZG9iagozMyAwIG9iago3MDQKZW5kb2JqCjE5IDAgb2JqClsgL0lDQ0Jhc2VkIDMyIDAg + UiBdCmVuZG9iagozNCAwIG9iago8PCAvTGVuZ3RoIDM1IDAgUiAvTiAzIC9BbHRlcm5h + dGUgL0RldmljZVJHQiAvRmlsdGVyIC9GbGF0ZURlY29kZSA+PgpzdHJlYW0KeAHVWWdY + FM2y7tm8wBKWnJecc1pAcs45isCSc86oKAJKUBCVDCIoICoISDCBgAlBkggqiEQFQUUE + FBN30M/vO+c59/y7f+48z/S8W1VdXTvV3dVVAwD7KiUiIgRBD0BoWEyUrZEuydnFlYSd + BFjADfCABzBRvKMjdKytzcF/vbbGAbTLfCK1q+u/iv3vDAYf32hvACBrmO3lE+0dCuNr + ACB0vSOiYgBAfoHpw/ExETBGPYQxUxRsIIyndrH/b7y2i71+YTTql4y9rR4AaDYAcDQU + SpQ/AARBmE6K8/aH9RD0AcAQw3wCwwBgdIaxpncAxQcA9iJYRjI0NHwX34WxqNe/6PH/ + F0yheP2tk0Lx/xv//i9wT3hg/cDoiBBK4q8f/5dNaEgs/L5+XUS4pQkLsdz1DQt8L/lQ + 9M3gJxd8/4wI+eUzWAbi8A1zsINpu1gyzMvS6i+s6RdlaAtjuC9kHRGju4vhdwb5RcRY + 2/9FP5gUoGcJYxqYfto32uCPnsogiumuz2hhenNUrK0DjAVhfCc6zs4AxvCMghaSAuyd + /pLZ8PHV/4uOQPgFGpr8lkEQA2NMdsdign3OHxxutmsDPBZCGZiBEOALYkEU3IYBKWAO + 9ID+X60U8AMUmBMH86JBMHgN41C4RzjcJxzGpL/k9P6DYvirnz/c7981koA3LBv795i/ + RyPBY/7RGQh8YPyHToHH2OXtWhftEZj6z5h/JHb1/bJG9pLssuz3PzahhFHyKCWULkoD + pYlSBSQUC4oDSKEUUWSUDkoLpQ7zVIEhWIA1+/+xcVd/aLNfXFF4oppjAMzd/e9ef7jA + 8Zd04N+//8MCEDi42r76xwIAYnwT4HUAgF54RGJUoH9ADEkHXrm+kiSTMG9pSZK8rJzc + Lvv/zbW7Z/029pPtr70IYhn6hxa1BYD6E3g+jv5Dcy8DoLkQALov/9CE9AFgKACg28s7 + Nirutz7U7gMNqAAdPEPZ4f1QAIjC71keKAN1oA0MgCmwAvbABbjD8ycAnoNRIB7sB4dB + BsgGeeAMKAFnQTWoA1dAM2gHt0A3uA/6wTB4CibBDFgEK2ANbIFvEARhIQLECLFDvJAQ + JAHJQ2RIEzKAzCFbyAXyhPyhMCgW2g8dgbKhfKgEOgddhJqg61A31AeNQM+hWWgZWoe+ + IpAIGgQTghshjJBBkBE6CDOEPWIfwh8RiUhCpCFOIIoQVYjLiDZEN6If8RQxg1hBbCIB + khrJguRDSiHJSD2kFdIV6YeMQh5EZiELkFXIBuQN5APkE+QMchW5jcKgGFEklBQ8T41R + DihvVCTqICoHVYKqQ7Wh7qKeoGZRa6ifaAKaCy2BVkOboJ3R/uh4dAa6AF2DbkXfQz9F + L6K3MBgMC0YEo4IxxrhggjDJmBxMOaYRcwczgpnHbGKxWHasBFYDa4WlYGOwGdhi7GVs + F3YUu4j9gqPG8eLkcYY4V1wYLhVXgKvHdeJGcW9w3/D0eCG8Gt4K74NPxOfiz+Nv4Ifw + i/hvVAxUIlQaVPZUQVSHqYqoGqjuUU1RfaKmpuanVqW2oQ6kPkRdRH2V+iH1LPU2DZFG + nEaPxo0mluYETS3NHZrnNJ8IBIIwQZvgSoghnCBcJPQSpglfaBlppWlNaH1oU2hLadto + R2nf0+HphOh06NzpkugK6FrohuhW6fH0wvR69BT6g/Sl9NfpJ+g3GRgZ5BisGEIZchjq + GfoYlohYojDRgOhDTCNWE3uJ84xIRgFGPUZvxiOM5xnvMS4yYZhEmEyYgpiyma4wDTKt + MROZFZkdmROYS5lvM8+wIFmEWUxYQlhyWZpZxlm+snKz6rD6smayNrCOsn5m42TTZvNl + y2JrZHvK9pWdxG7AHsx+kr2d/SUHikOcw4YjnqOC4x7HKicTpzqnN2cWZzPnCy4ElziX + LVcyVzXXANcmNw+3EXcEdzF3L/cqDwuPNk8Qz2meTp5lXkZeTd5A3tO8XbxvScwkHVII + qYh0l7TGx8VnzBfLd45vkO8bvwi/A38qfyP/SwEqAbKAn8BpgR6BNUFeQQvB/YKXBF8I + 4YXIQgFChUIPhD4Liwg7CR8VbhdeEmETMRFJErkkMiVKENUSjRStEh0Tw4iRxYLFysWG + xRHiSuIB4qXiQxIICWWJQIlyiRFJtKSqZJhkleSEFI2UjlSc1CWpWWkWaXPpVOl26fcy + gjKuMidlHsj8lFWSDZE9LzspR5QzlUuVuyG3Li8u7y1fKj+mQFAwVEhR6FD4qCih6KtY + ofhMiVHJQumoUo/SD2UV5SjlBuVlFUEVT5UylQkyE9manEN+qIpW1VVNUb2luq2mrBaj + 1qz2QV1KPVi9Xn1pj8ge3z3n98xr8GtQNM5pzGiSND01KzVntPi0KFpVWnPaAto+2jXa + b3TEdIJ0Luu815XVjdJt1f2sp6Z3QO+OPlLfSD9Lf9CAaOBgUGIwbchv6G94yXDNSMko + 2eiOMdrYzPik8YQJt4m3yUWTNVMV0wOmd81ozOzMSszmzMXNo8xvWCAsTC1OWUxZClmG + WbZbASsTq1NWL61FrCOtb9pgbKxtSm1e28rZ7rd9YMdo52FXb7dlr2ufaz/pIOoQ69Dj + SOfo5njR8bOTvlO+04yzjPMB534XDpdAlw5XrKuja43r5l6DvWf2LropuWW4je8T2Zew + r8+dwz3E/bYHnQfFo8UT7enkWe/5nWJFqaJsepl4lXmteet5F3qv+Gj7nPZZ9tXwzfd9 + 46fhl++35K/hf8p/OUAroCBgNVAvsCTwY5Bx0Nmgz8FWwbXBOyFOIY2huFDP0OthxLDg + sLvhPOEJ4SMREhEZETORapFnIteizKJqoqHofdEdMUzw4XAgVjQ2PXY2TjOuNO5LvGN8 + SwJDQljCQKJ4YmbimyTDpAvJqGTv5J79fPsP7589oHPg3EHooNfBnhSBlLSUxUNGh+oO + Ux0OPvw4VTY1P3XjiNORG2ncaYfS5tON0i9l0GZEZUwcVT969hjqWOCxwUyFzOLMn1k+ + WY+yZbMLsr/neOc8Oi53vOj4zgm/E4O5yrkVeZi8sLzxk1on6/IZ8pPy509ZnGo7TTqd + dXrjjMeZvgLFgrOFVIWxhTNF5kUdxYLFecXfSwJKnpbqljaWcZVlln0u9ykfrdCuaDjL + fTb77NfKwMpn54zOtVUJVxVUY6rjql+fdzz/4AL5wsUajprsmh+1YbUzdbZ1dy+qXLxY + z1WfewlxKfbS8mW3y8NX9K90NEg1nGtkacy+Cq7GXn3b5Nk03mzW3NNCbmm4JnStrJWx + NasNaktsW2sPaJ/pcOkYuW56veeG+o3Wm9I3a2/x3Sq9zXw7t5OqM61zpyupa/NOxJ3V + bv/u+R6Pnsle596xuzZ3B++Z3Xt43/B+7wOdB10PNR7e6lPru/6I/Ki9X7m/bUBpoPWx + 0uPWQeXBtiGVoY5h1eEbI3tGOke1Rruf6D+5P2Yy1v/U8unIuMP4swm3iZlnPs+Wnoc8 + //gi7sW3yUNT6Kmsl/QvC6a5pqteib1qnFGeuT2rPzswZzc3Oe89v7IQvfB9Me014XXB + G943F5fkl24tGy4Pv937dnElYuXbasY7hndl70XfX/ug/WFgzXlt8WPUx531nE/sn2o3 + FDd6Nq03p7dCt759zvrC/qVum7z94KvT1zff4r9jvxf9EPtx46fZz6md0J2dCEoU5ddZ + AAm3CD8/ANZr4RzCBc4dhgGgov2dU/ySgNMVCJaBsQS4C4lBcdA0HIEvIknIUpQA6jJa + Gz2FycGawDFsEd9FdYX6Mk0roZf2JT2GQYEYztjK9J3FkLWcbYvDmvMSN4bHnbeFj8Dv + K3BNCC3sLFIl+lacLLFfsksayOjJpsv1KiAV9ZTSlG+pbKhKqLmq5+25q7GlJaLtpJOh + 26w3ZYAyFDMyMfYzSTE9Y3bJ/LbFoOWU1XsbGlt5Owf7BIcSx5tOL5y/unLv3ePmsi/G + Pc+j3rOXMuX1yYfaV9BP1d80wCHQMygoOCIkKfRQ2JHwoxHHItOj0qL3x0THBsV5xtsm + 6CUqJLElfUy+u7/wQNhBwxS+Q+DQ/OG+1OYjlWkn09MzEo9GHwvLDM4Kzz6V8/aEWu6x + vNF8tlMup4vPjBZii1SL/UpOlN4om65AnhWptDwXV1VW3X1+vgZXK1FneTGiPv9S8+XB + K6uN+KvCTdrNe1tir+W2Xmy73z7XsXOD46bSLYvblM6YrvQ7Bd0Xehp6b9y9f2/s/ssH + iw/f9S0+etZ/b6D5ccngwSH3YfUR5pEPo71PisfCnmqPE8cXJhqeRT9XfL7xonHSb4pz + aujl4Wn56YVXBTNms8jZm3Nx8yoLYOHxYuFrzzeibz4sNS9HvpV++3alatXlHeFd5/uI + DwIfptbOfgxcV/tE/LSxMbc5uTX3eXOb6avWt+jvV3+s7wjt7MD+5wZHIAbICWpH8CDi + EDNIc2Q3fOIfQntjEJgGrB9OBLeGH6Rqpa6jqSRU07bQPabfILIzGjMlMjexLLOJsntx + VHG+4Gblsec9SrrN91FASNBKKFn4vEi/6Dtxegk5STupCOnjMldkH8rNyH9RpFPiV1ZT + sSB7q8aoHVE/tadKo0GzQ6tLu1fnnm4vHFduGbQaXjaqMi4zqTZtMusyf2QxbjlrtWK9 + YQvsqO2JDhyO/E4iztIuCq7kvZpuBvuM3Y08tD3JFFkvEW9eHxZfgh/Kb9v/Q8BC4LOg + geDukPbQ+rCK8NyIhEjDKELUWHRFTEgsOQ4b9yK+MSEjcV+ScjJD8ur+RwfqD2amBBxy + OOyUSjkSmhaXnpJx9OipYxWZtVlXs2/lPDg+cuJl7lLep3xwiv403xn5Ap1CuyK/4riS + 9NKCspryaxVdZwcqx8/NVi1Xfzi/XUNVK1ZnfjGyvuRS9+W3DfSNGleDmgqb77d8bhVt + c2vP7ei+vnGTdEvvtk9nWlfZncbu7p7+3sG7w/Du1Pmg6WFVX+6j5H7/AYfHuoOSQ0xD + 28PPR1pH854Ej+k/5X76ZfzJRPOz/OcxL1wn9aZkXnJOU01/fbU6MzP7dK5//t5C92Ln + 6843t5ZuL3e+7VrpXX38bvEDZk3xY9T6rQ2WzSNbP76kf2X71vrD6efPX/53hJggX3j1 + KyLSEW+QhsjLKG7UCTQ1OhNDxJzHamKncRl4Mn6Lqo36EI0dQYqWQLtON0c/xNBL7GZ8 + zPSS+QMrio2NXZRDm9OWy5c7nuco7xnSeb4W/i6BPsEnQq+El0XWRL+IAwmcJE5yR+qj + 9CuZYdk7co3y5QqZirFK7sqGKhJkOvIH1RG1BvXMPT4aWprsmmtafdrVOim6Hnpa+jwG + CIMFwwdG9cbHTaJMHczUzbktgMWcZY9VtXWajZ+tpZ26vaADjcMHx0Gneuc0F2dXcdfv + ewfcSvcFuqt5UHu89GyiZHn5eot7L/qU+7r4cfi98q8PSAy0CBIKhoJfhtwMLQ6LCbeM + EIn4EdkflRdtF8Ma8yK2LG5fPCl+LqE60TtJKOl1cs1+/wMiB5YO1qdEH7I8LJvKkLp5 + 5EVaZ3oVPE9CjllnymcxZW1lj+dcO37yRHiuTZ7SSZ586vyvp96dnjszUTBWOFb0tPh5 + yUzpctlm+c5ZfCXXOa2qsOry84M1UK1inf/F0vq+S+tXWBqUG62v+jTFNB9uOXGturWz + bbx99Tq4QbzJf0vxtkmnV9fhOzXdY71Ud43uHb8//pC6T+mRR3/OwK3Ha0Niw5SRytHp + MZ6nbuNlEy+es71wnjw3tTXt8mp41m0et/Ds9dgyfiXmPXHtzaf5z9xfw3+82vX/79rS + bkzAKANwgQEARzgHtbwEQGU4AEJwvYYerpdYEwCwVwWI2B6AoBkAUM7A3/EDAQhwrikP + TOAsPwmchrPHh2AOfIdYIQXICgqGjkEXoB5oBtpB8CI0ER6IQ3BWdw+xjKRFKiLdkGnI + K8gJFBIlh/JAnUB1odbRwmhX9El0HwaJ0cQkYdoxW1gVbAL2JvYnzgB3HDeB58dHwhGJ + jsqT6ho1ntqTuoOGkSacZpAgQ8gnbNN60Q7SadA10PPTFzEQGU4QccQU4iZjOONbJn+m + 18wBzCssESybrAfZqNiK2EXYr3NYcixwHuBi47rGbcu9wVPKa8S7Sarh28tPz98ncFTQ + UIhK6IlwuUi4qL4Yl9hn8WcStyVrpM5JN8r0yI7KLch/UoSUaJRZVXjIQnBkU1BX2bNH + Q1dTT0tUa1W7Qydd10FPVO+n/guDDsMio4PGgSaOpiZmOuaaFmRLDSsda2MbG1tnOy/7 + cIcUx1NOtc63XSZc193o98m623sc8KyljHkjfBR9g/3O+U8GsgU5BOeHjIYxhjtFVEQu + RavG5MUuxxsn1CbRJh/Y//aga0rfYd3UzjTV9NGj8ZliWa9yqk5E5lnkK53mLyAVcZYI + lMlV6FeGVTVdQNb6X1y8HNaIaKq8ptE2dN375rtO+zvNvTz3ch/SPCp+rDH0fvTq0/Rn + oZNu0/Izc/Oxix+XbN8Wrna/f7I2uH5jo3Ar4IvM9tq3Kz/8f+0fSLjeIABXGOzhGlIW + qAG94BXsfXa4JuAIVwFOQy3QGLSJYEWowbl9KqIWMQhn8TxIE2QMshI5hNxBycKZeSFq + EI2BzxzJ6OvobYwa5gCmG4vD2mBLsIs4RVwabhwvjj+Mf0YlT3WcaoXanLoBzn4TaeYJ + VoQuWnnaOjp+ugp6bvpKBmGGRqI8sZlRkfE6kzZTH7MD8zxLNCuStZBNhu0huzcHAo5V + BpxvuU5yq3K/5initSHRkB7xZfNbCDAKTArWCMUKG4iwi3wUfSRWLX5EwlvSRIosbS4T + Lpsv1yQ/rvBFiU1ZVcWNnKJ6Xq1P/b0Gq6au1j5tio63rp9eqH6CQbphnlGFcZPJA9NJ + s3ULgqWolYG1r80x21q7PvsVR3onNWdPl0zXjr3L+zjdrT2OeT7wwnib+eT5TvpLBiQH + 9gdjQnRC48Kawl9H8kW5RpfGTMQxxFsnnEh8nEyz3+LA8YOPDxEPO6dWHFlJ18+oOYbP + jMhazPE6PpcbdhKXX3PaqYCucKi4sDSwXPcse+XHqpHzN2ou112t77r8tGGtiblFsdW5 + Pf76mZtXbvd0DXW/6n1379tD9CP6Ac5BoWHxUekxhXHFZ5ovdKdMpm1nXOfsF1ReE99M + L59Z0V2dfR/zYfNj9PrChvVm22fmLxHbfd9I3+N+9P/yPwRwgANe/5ZwRek4aASjYBPi + gLTgmJIDXYOmEFiEPOz5o4gW+GxBi9RERsB1mgkUAWWASkHdRG2jyehE9G14tZtiTmGm + sZLYZOwAXDeJx43hlfAl+B2qAKon1LrULTSi8PmDi1BKS6K9QKdA10PvQL/CkEHkJ95h + dGf8yXSOWQ9e26dYtVhX2crZrTgwHF3wutbkRnA/5MnmNScxkWbgmJQrEC7oKmQirCFC + FiWLKYurSmhIakppSLvLFMsOyyMVpBXtleKVz6g0k0dV19U59xhrJGm2adPqhOoO66sZ + 1BuxG+eb0pvlWrBYnrWWtum2M7JvcKR1inF+6rpnb90+RvdUjy1KpNc7nwjfbf+sQI6g + 2hD50GvhKhFtUQrR12Il4yoTWBKzkr7vDz8wnWJ16GHqniPn0zYy9I8WHFvOUs3OyZk7 + Qc7NzfuQ73Dq7hmlgtYicvGjUq+ynYqKStlzndX259/XZNfJXHx+Ke2KZMPY1fhmhpa6 + VqW2mx2a16/flLx1rlO8q787pJf2buN9iwdLfSn97APNg/pDkyORT/BjdeM6E3PPj0yK + TPVOO76anfWZm1jQXCx/vbQksuz6Nnklb7X8XdH77A/Raw4fZdbR6yOfyjbcNrk2n22d + /mz6+fOXC9s229+/1n6z+PYJzjrUf0z/7Nv1f7Sfgvxu9AAQjS5cfpze2fkkDAA2H4Af + J3d2vlXt7PyohpMN+BvInZDf3yt2hTFwzb3syi66F1K5+/i3638Azn6KxwplbmRzdHJl + YW0KZW5kb2JqCjM1IDAgb2JqCjU5NjUKZW5kb2JqCjIxIDAgb2JqClsgL0lDQ0Jhc2Vk + IDM0IDAgUiBdCmVuZG9iagozNiAwIG9iago8PCAvTGVuZ3RoIDM3IDAgUiAvTiAzIC9B + bHRlcm5hdGUgL0RldmljZVJHQiAvRmlsdGVyIC9GbGF0ZURlY29kZSA+PgpzdHJlYW0K + eAGFVM9rE0EU/jZuqdAiCFprDrJ4kCJJWatoRdQ2/RFiawzbH7ZFkGQzSdZuNuvuJrWl + iOTi0SreRe2hB/+AHnrwZC9KhVpFKN6rKGKhFy3xzW5MtqXqwM5+8943731vdt8ADXLS + NPWABOQNx1KiEWlsfEJq/IgAjqIJQTQlVdvsTiQGQYNz+Xvn2HoPgVtWw3v7d7J3rZrS + tpoHhP1A4Eea2Sqw7xdxClkSAog836Epx3QI3+PY8uyPOU55eMG1Dys9xFkifEA1Lc5/ + TbhTzSXTQINIOJT1cVI+nNeLlNcdB2luZsbIEL1PkKa7zO6rYqGcTvYOkL2d9H5Os94+ + wiHCCxmtP0a4jZ71jNU/4mHhpObEhj0cGDX0+GAVtxqp+DXCFF8QTSeiVHHZLg3xmK79 + VvJKgnCQOMpkYYBzWkhP10xu+LqHBX0m1xOv4ndWUeF5jxNn3tTd70XaAq8wDh0MGgya + DUhQEEUEYZiwUECGPBoxNLJyPyOrBhuTezJ1JGq7dGJEsUF7Ntw9t1Gk3Tz+KCJxlEO1 + CJL8Qf4qr8lP5Xn5y1yw2Fb3lK2bmrry4DvF5Zm5Gh7X08jjc01efJXUdpNXR5aseXq8 + muwaP+xXlzHmgjWPxHOw+/EtX5XMlymMFMXjVfPqS4R1WjE3359sfzs94i7PLrXWc62J + izdWm5dn/WpI++6qvJPmVflPXvXx/GfNxGPiKTEmdornIYmXxS7xkthLqwviYG3HCJ2V + hinSbZH6JNVgYJq89S9dP1t4vUZ/DPVRlBnM0lSJ93/CKmQ0nbkOb/qP28f8F+T3iuef + KAIvbODImbptU3HvEKFlpW5zrgIXv9F98LZua6N+OPwEWDyrFq1SNZ8gvAEcdod6Hugp + mNOWls05Uocsn5O66cpiUsxQ20NSUtcl12VLFrOZVWLpdtiZ0x1uHKE5QvfEp0plk/qv + 8RGw/bBS+fmsUtl+ThrWgZf6b8C8/UUKZW5kc3RyZWFtCmVuZG9iagozNyAwIG9iago3 + MzcKZW5kb2JqCjggMCBvYmoKWyAvSUNDQmFzZWQgMzYgMCBSIF0KZW5kb2JqCjQgMCBv + YmoKPDwgL1R5cGUgL1BhZ2VzIC9NZWRpYUJveCBbMCAwIDYxMiA3OTJdIC9Db3VudCAx + IC9LaWRzIFsgMyAwIFIgXSA+PgplbmRvYmoKMzggMCBvYmoKPDwgL1R5cGUgL0NhdGFs + b2cgL091dGxpbmVzIDIgMCBSIC9QYWdlcyA0IDAgUiAvVmVyc2lvbiAvMS40ID4+CmVu + ZG9iagoyIDAgb2JqCjw8IC9MYXN0IDM5IDAgUiAvRmlyc3QgNDAgMCBSID4+CmVuZG9i + ago0MCAwIG9iago8PCAvUGFyZW50IDQxIDAgUiAvQ291bnQgMCAvRGVzdCBbIDMgMCBS + IC9YWVogMCA3ODMgMCBdIC9UaXRsZSAoQ2FudmFzIDEpCj4+CmVuZG9iago0MSAwIG9i + ago8PCA+PgplbmRvYmoKMzkgMCBvYmoKPDwgL1BhcmVudCA0MSAwIFIgL0NvdW50IDAg + L0Rlc3QgWyAzIDAgUiAvWFlaIDAgNzgzIDAgXSAvVGl0bGUgKENhbnZhcyAxKQo+Pgpl + bmRvYmoKNDIgMCBvYmoKPDwgL0xlbmd0aCA0MyAwIFIgL0xlbmd0aDEgMTAxMjggL0Zp + bHRlciAvRmxhdGVEZWNvZGUgPj4Kc3RyZWFtCngBvVp5fFTV9T/3LfPeLJnMTGZfMjOZ + zEwm+0ICIYGMIQkJa0hYEiSYBAIBoUaEKFZoVFSIyE9AFsFaQWUVGUKEAQqliCK/torW + lZ+2WkFpa2r7+4G1SGZ+574JMcnH+vEPP31vzt3fved+z7nn3HffLF2yrAXioANYqJ7Z + 1DYPpMt7BYDsmbO4qS2WTxAxPjunfakrludTANhF89rmL47lxScAFI75i5b3PZ/wNYC2 + o7WlaW6sHm5gXNCKBbE8GYZxcuvipffE8rpujKcuumNOX33CbzGfvrjpnr7x4UPMu37S + tLgl1t57FuPktjvuWtqXp/1MaFvS0tee1CF/bwDBUgPcAXK4HQRgQIN3A4BwReEADmtp + PV5z05Ubb4svvgZaOk2A2yb+lxS/6v7lu1+33PAr14v/wgL5zfY0lgUiAQAVwfoe5fr+ + Guk5DAxhqE0LQxVSCVI+UlraLWboILvgcaRnkFhYQB6F5UhrkJ5E4vpTezF3jDzaxYnB + 42Q5WMm4oJJzTtVbnGaF0vlWmMi6n3Z+YP70BLGg9D4hlq44kN+iIM+QX8BccJLnwUvu + hUpIIdsOBxY5G7FqL7QhdSCxUkjI3q7EXOcpkg5ejuAzPkjkyBHn5zkZzss5YYZ0Oc/4 + wxxGv07EXDDeedrxtPNXjvnOU0j7Y1X7AtjiiHOvY5FzY2KYbOtybnCECT6zPhYtc+Cj + R5yLA5udc3Ok+gmbw8z+Lmch1k8PKp0FI9zOfMclZ5Y/LBLMZzgmOFNzfudMxgexmQs7 + 9Qa1Trtjo3MkViU6yv0jkU6QfWQ7pJLtXd5xzuOYxOkergqM2BwmPz1cmZLjDZN7gwWV + KZsDlX5vYILTG6jw+zE9/TVhlXCrcIuQK6QJKYJPcAs2QS/qRI2oFlWiQhRFIUxe6Cpx + yk6Q/VCCsOw/LMpEPkxexELuBDkgFR44KnIiI4KoD0c/RuUloA+T/d0amsLEEZmUkoXJ + gcOxogNBJ0dTnFShYWgaAwyBISID4yBEHgvL4CFje4m5RDdaW1hR9u+CRqnmZpj27y8z + cYQ2j6+tC+1z1IdyaSLqqL/Z3Hwz8W/jpcuwqqU0LW18zfLD7W0L55W3eMobPeUtSI2h + R9tbzaGOZpfr0MI2WuEKsb7G5jmtNG5qCbV5WspCCz1lrkPt0nNDqufR6nZP2SGYVz61 + 7tC8YEtZV3uwvdzTVFZ/uLl0ScOgsdb0j7Wk9DvGKqWdLaFjNUvPDRmrgVY307Ea6FgN + dKzmYLM0Fp18+YLa0ruWona6yheMd4VSakNVU2bWhVxN9WVhsgsLy5YBfxo0/ElI4TvA + ymWBEyD6AdJFGkemRT/jz4Emsjj6D7YIhXqMEhMpKYbT8Bhsh4Mggz2YToHZsBXOk4W4 + tmdBN7xLEiETbS8HYZgAvyXR6JswD57D9kvhDGyCQ6DCZxajDZsA64g3ei/mg5huhlXR + nZAMI+BhOAmF2Os66InujR7G2hqYBvtgPz7/G+JhDnEJ0Rejl0CEKdjnKqx5MzohehB0 + kA6lUI2lq+AU8bIXo61ghiLk7in4BeyAX8MX5AHSHW2NtkcvRD9BVTWDHWrxXkG6ySfs + Qe7h6FPRv0QjiEQKpOKojbARnsX+D+J9Gk1rObmdLCUbySYmyDzAdHMP8aZIL+IQgLF4 + V6JVXo0IHIOz8L/wL/IlY2Y17FL2lWh+9P9ACeNxlnQmLdCO9yN4r8M5nSAykk3GkGqy + gjxBNpHfM6nMNKaOuZu5h/mMncTOYpezv+fu4rr4tfxWmTJyLXoiei76DpjAAbfCEliJ + szsDF+AqXCcs9mUnXlJESslsvDvIduYY2UGOMdXkNLnA7CN/JJ+SL8k3DM+oGAOTxixl + NjL7mTPM6+wCdhP7JPtH9ho3mmf4HfxlmVf4n0hzZE3k9WhR9JPo12hiRXCjZEphEtwG + TTjbNhgGP8NZHMD7IErtLLwC56X7U2KHHvgaUQCiI1aSSybiPYlMJvPIAvI0OY73KYmX + rxgUBCNntIyJsTO1TDOzmOlg3mE6WBubyo5jZ7IH8X6NfZf9hv2G47kEzsCN5apgLbeY + 24b3Lm4P18W9wRfyo/lJ/HS+g1/Dr2Xn8G/y78pWytbJumRfyv6OZnGCcIewFqVzHnX2 + 16jL314cSUbuc+EnMIeUkWbYjNLYQZqgE7VrLlmNeLVBSrSBXcmOZbJRG07BT1Fbt8EK + WMPOgh3R99l98B5qyiLssgN2c6Xg4LegdB6AbNSivjsYSA2k+H3eZE+S24Um326zWswm + o0GfoNNq4lRKhVwUZDzHMgTSyz0Vja6QrzHE+TyVlRk072nCgqYBBY24lF2hisFtQi76 + XBNWDWoZxJbzhrQMxloG+1sSjasYijPSXeUeV+h3ZR5XmMycUofpx8o89a5Qj5SeKKUf + l9JxmHa78QFXubm1zBUija7yUEV7a2d5Y1lGOjkWRDgUGenUcARBSTsOwZimFWhgYQxt + UR6yesrKQxYPprGO9ZY3zQ1VT6krL7O53fVYhkU1dThGRvqCEPIJj6rmeuY+Gg5CcyNN + Nc2qC7FN9SGmkfalTQuZPGUh072Xzd9mb6bK1w6oDDHeiqaWzopQsPFRBJdmG2muaS3m + xte6sFvmofq6EHmojwnK40LklLIb8wnexoWukNxT6mntXNiI4EJNXZc1aJWMbwiq67os + QYuUyUg/Zl5Z5MbZH8u4JeMWGhe5zStj8ecPxsrfOk1j88qzH2M8vqYfAEIR8FQhnyHX + HGkQDzI7ggYtI6BzzgjECa96gtNcgPyMCTGoM6w3xHurmkIdtTfZaC2LMde4sKxLbrFK + Tqi0Hts3dmpGoqSwvcbj6ryG3rrR0/PF4JKmvhKZV3MNaCUVdL+uhEjTzXQ7dZZenHWr + 2dNK5dsuyRTzHnP5gALMU2gozyE9OvDqOnfIVY8FuJtMHx8GeXXdIULW1YdJ9KEwlDmO + 4R6VvW02VqdTVVtQhuNjJiMdC1LdmMpMd1XgyBVUV1ydrs6quZ2uClcrKhPnlWKsaOms + z0IEa+sQJ5iKIwbrbf3Jlvr6kdhPFu0HH8HmnfXYw8K+HjCWirJ6sVF2OjpT1lddN6Uu + 1FFmCwXL6lEKqL6nq+tCp1Fz6+uxVU4/p8jxigXmPp5zkeecVKzPi/WCe5cO7KK+s5P2 + WVvncYdOd3baOul6i+XDBIYWBPsKwkCbUMjDpKMan8XI47ZJMnB73MhWPcV0GKr0TY3C + Pfv3I1zQzzc+ORy5LZAQHvEjIVz4QxAe+YMQLurndBDCxchzEUV41H8O4dGDEC75foSD + /Xwjk7cgt0EJ4dIfCeExPwThsh+EcHk/p4MQrkCeyynCY/9zCFcOQrjq+xEe1883Mjke + uR0nITzhR0J44g9BeNIPQnhyP6eDEK5GnidThKf85xCuGYRw7fcjPLWfb2RyGnI7VUJ4 + +o+E8IwfgnDdD0K4vp/TQQjPRJ7rKcK39iMctIVgoB3uGGJ24Uc3zLMGQI47JV4HpUwh + xudgJsbnZYVQzU+HRu4uqMGX7CKMRyBVYp0d41FIq8g5WCPbB6toGom2aWf2wRpsY8J0 + B3Z78zxIhW8pRzDvgun09fxHuJgBfbAD0sisdPEDyoYmZVgg4NuEHBT4XqTqq44DNcTj + aZYW8zqkBNBLNcPwLeMsfEhmMj7m7+wS9g+447/KP8V/IVPLOmWfCbOFC6JRnCeexheJ + UgDuAr7Tsth/Sey8SszCTQWSqAkDXECieUyzH4aBQwJMCx/CcXwCYHraceyFxzg7J0/r + 1vqRSrl14Rt/4k9eHxPmJn6DZx/YYmb0In8nfxl3+YlwKFhk47eQzTzrJE7uAfIIvyaB + rxXZhx1arUE20sGqRhrkiUxiooXNYYo0OVqrS55jsThdO9wL5+GJxaSrE3smab6a2HO1 + R1eYBSUlPSU0oenJyR6zPDgS7CZvgk/ttfmURnkuxOk1uUSnjdcIdszxwOYSwnCswqzK + hXgdBqJVlks4ggE9ByCaYk1xWlospAX3N5AGkZg8mcSTBFqNLi93eMHwPJkgc7v8Pq1m + eIHbwyWSYdoz7le6Pohc+8eXH941KvGMdcPByHtRePHyC8fJ2BT+cuTiiXW7Im9EXolE + Ir/aW7/+ys9Pbv8deYGUX/gT4kPwLQvIBkkOJnpKeFxSusw0PBOg8DNZ2TkJeVrP+fPn + KaworeroO/wVxDMebPhO3hlMfwQXwjnyMvOaeF4hGyMaRsaztpGC3M7Y7UpdDmtNNOco + LY7E94dA2A+ghF0uWON8xCv38j6j2pyL+qTLJVYRUxoZpkwqQy5JYDCwKGy5oOUwkDCj + Ab3ux5NVk1GrEZg+cHRu0OVrgCKn17lZbvuJDbvPRjZFDpw58MQpPBqw/TXyj79einz8 + T2JQ85evvxy5EDl6MQofv0/GkdS3ieb6TrL8Gr6mF0fORd64GjnEz0Zdaoy+w32Fc8/C + 98VIcHYg3u/x+QrU+e6xvmbfveq7k+W3i2a1ycvUq1vV+5JYhXpkUnKSguXs5of1WVlp + 9pF6lhuZJs9mFGpRm5zkTMnO1pq9pirRm2LNdXq1VeDNsuTkPuNeuKZP3a4OUDidtrCQ + 0gDF0+pMhZm9eQ13SihOTMnUOkHE1efL8Mq8Vh+bDmmQkSlFfKqYRhwJzjSwGcxpxGIm + GVwayP3KNOJVkkxMCwEMEnV2rDRiICGs0UiaSTGm2kmv++9HtInRZKQKmT/M78siPr8v + f1hyXi5n8GDSkyQz6E1GJ21j0HMeVNfhhCQKw+Zcb5vVNX7CznMvT1lLdN98TsaciM+5 + 9WJo28yiC69vmrI28vO/Rv62fTvLTCQXV0za4Br9zD15ud6M9PxZR1+N/PFae8ldTzQv + ynVlZyUVzT979a21j/6NU+JRO9REP5ROGuLxDKkYPgqOSM0mCo3SprL78yo1C+QLNUKh + qFPJWVuukCx3aFSOojQmM1B0tIgpyk316jQCL9r9SSZ7mHQGPSaHU/A7MpWMI19ZLBQX + 2/VCIHVPsnW0LWAfF+8fYRk1+pdkCx6wHCObYZCYLvWe7Vfrkh40DVQ8DVpdYWZPZg/B + WGsqlASVUjDckATE4iUF8W4wJ9rcYHTp3cSdBMMZN1gdJjcxuDGgMkDD8C3sDckS7KOI + msQTtAUGUkCFgJgLMsEzmuTlIuZaPTbCIdRoOfw+P41QPgXDE4h6yaTb6je7W3MXN+fU + ku7RBtWD9z5W5Fbs4f/57Mn2ZSavKlGbmu5rSDXKh79+36aTx7d0vjEzvWrXeoNdpo6z + Z80ni8R0c8as2gmpta9ur6zc2rvFnsSyD6lkpZ5g5cKXVm96LoFconYXT6LYC9wksKKd + 2B3M2m0hW817xH1mdpyo3a5nWb3MYRXiHHqlTbDZTBq/jrB+Rmt1KPwmix2P54XD7iUr + vrW5xRN7Cgu/y+oOA4voVRkUPlAnaHwxe2vBHNpbt2RvlcY4H9pbDORmmY/aW/d32Fuq + 0g1gjFlbhDWGYB6FjkE7kicw735qOqhZsvKFcdmrN7Q9aDmY+PcTb10nurft3KTQe3Me + 3LP4mR0frrn7nVdI3md4jDaSRwxGRC+yPfwZ9JwOuDuYO1w9Vj1DvZvba+O9op6Jd2hA + dDiEBAXjMCn5zIRMTUCrszqVfqsl0fmIe0npwOn3XroE6G/wpy3UxrTIarbLFUCIWYlz + s2MAFsYHCpvowwniT1qoOqoKkoLIDGgiTVq05vl0WpA/TJf31YYdK3bsunf1XtJZmz3q + wM6SF+44HLn+5R/IbVfeO/+bly/8NzN8WOJ4xnF99KY5dSTj+l/IDFxvldGLnBVP9ux4 + CuwlquDyLeKT1t1Ollcz8bzeoNbFG/RBVVAvBqxkvPIIe468yp6zvS9+IH/X+b7niumK + R3lOe07HzBJ5d3L8NqMjuVAmCEa3wy4oHEalV9hi320/an/PznmN8V47b1GoBK3aH+/w + 81Z/cqbgt1h8/rfduxpiAPVekpzy272FukJccmgkC7Ma+o1kcW+xpgdLpYVXAR6OZ/HY + lPCczIleVKdJ0Og1nEzlTbIl+3DX5fCRRIfcJPhAaVD7SJzaY3VjEY+BaEa9itNgEHM+ + MXeNazM1LfV+cmcD3NlAVYhaPXcirkTqrtUE16VMcuCQR+0kGkeBMN3vjijQaW58yT++ + 5bGp2fpDwuScmuW31LwW+Qsx/4k4lSnjDty3hycebuzt06YsGrfz2VcaCsYWrc+stmuI + B8+OGVIa8S2reOBwJ6EfJ9Fp23HRmfi38LR5YjBNcMgUDpbE6wuNcTKdwmIyWdVx2oBJ + J+ji1U41o76ht5gtN9zzV/Yh2FB4NosCNam8pewzhK54Ym9xSc/buLHRDS/IyzWaDJmo + MjJDnsGjxTs/L/8lT0m3NtlktyhrXF3dXZs28aXDZjHMcwyZ9uK6G3PZp9btQb5YGBUp + Yq+grjghA782HA1OLNBXiVXyOrFevlq117bHsde/K+2YTRkUWWNSQH1WkYTml5MFHBaF + zqGIzxQyM3k7m2nMzAjw1myV2h832ue3W7KyByyQqz2FVAN6L11DOffty3ClSGKPyT3d + k2JNVGqTvRqfJ9HngxQrBlql2g3xalWc15HkI35bAO2ECjcOfUa3z99Jq4iunPw8rR43 + X0k+f16f85Msa7IWzQNIBliyGmiOCXPf7Lz8XcVtkfMHvlAfjfOPevCNoI8t2Lrixcg3 + RDhOyp772akK78b7zkxOj7zJlY72jHnkRu5v2y9uf77SX7xh+kc11f8kDhJHMiM7Tnfd + tu2lkwfnrGIyJDmvQlCpTTFCbTAdV41oEkyin/MnLBOWiWJCHJNgwK/fDplgUCniAgqr + mRgCYLSYzPjV9rC7OWZTqHilNQMlKGa0KIWELhBowL0e+pOYE/Fo0bdToWs9q7qDeTMe + +HNtxrHEnEfajnTzZ3o/nOIufLb+6d4pzLPtw+u2vdv7WkwP16AyjpL2k4EgcsIqeFQ+ + wgSAtXD8vkEM9OlaTjbdYa7p7pZ2mFSXcY4yLzcWfPBQsEgQBbUs3iSa1KZ4v+hHM1Bp + ma6cr1R5vAqrw2NRMJzJ63aYHHEyAWQ2u5dNUKTgmNoAfhQlXdYA/RYcRDuZ6UUBW/wp + YRI3EIhLmqs9V3v7FN9UTHW/B+2FqZA67JuoGPpQMd30sAhOHzYDUOoKDqu/s2NSenLx + zpb3J6WeuH3iwiePWgNt83Z3c1lbJyePKkmumF771NR1vcOZK7dXr9vVu545sTh3/NNv + UPQYOm+2B9eKBb3m7GDOUdk5GcPJ9DK/vl22VOD1KkZv1jh4nKZZqbAKViuoAnKrnWSa + Axaw2HD7MkjEMbMYWxE4r55vxUxQ0IYBU6FyRjulJihrsmr/hH2tl6rTjzqyVwYD40Zk + 2LrJbuR/ds0vZuyk8m4unhtnLM2/c0HvG8gsrvGi6AecG329Cr/TWeDxYN5WcbPmSePz + 3B5xl2avMSy+Jr7HXVb/Wa8aKcocZkHl0CktgsViYPzxVpvcb7BYbWEiR4/fZ9Fjm97+ + tSyZ7nR8VfYpE+RofbWMjwgmTPFxmFLoVT4gGgxEIzp4Vo0B3TBJAX0zSNbRXaq0KzXm + 6fBVisF9Vsypf/xQ9oTjz2/e/Cx+DL0R+edHkRtE97lsKYnftXn2Eze69l9iL0a+iFyN + 9EZeJGk3cNMVpH69PTKN8+LU1ZAES4Ppe8XdJiZFdNm1apnDIMTL1A67MknN+M3WZEWm + JtMdSIq3eJIfcZ+MTQ9X36WYbCQnRQXT557sRhvwVh/nAxtOjDdiQCxqH7AmaU7SjOju + O1nad1OZ0Y12HsmL6Sd+oKK+Brd8Wg/z6m5vxfET5V4MI5kHC4K3/vRI5OjSbctrsou6 + l//+rY5Zh07M3XbfjF3soXVVKcWRP+Mcd26+LT+xqvcjugZxHTMbcA1qYXLQ52d9ccPZ + sRynFjWMWq6Vq/wiVUOtQrQmELpvAYsuIUzKcWHFXAqd4yQNdSUTS872nqVema6nmI2R + VK/fp+Da32947nbe7NDYNKs34FI5VrCdYU+xzMElvVspL6bol4ycn4naVfNSXKbitJqE + SUnQyxkLTaxMrdBacbnjF9UAGNSGeNbJMuwNo8ViRf/Wt4PsHezfsmIOrkfTe0kyPtSr + URxv7pl9+dTF7Tmyf7/PkBOXqHeO8a+cuX49PzPyzsbe8hEJSsKsk4v3z2de2YhGj4GO + 6Kd41lGFvteM63ZkWP+anpEniHpLgkWfIrubfQ/NNPBqBcjiFDzqvlkwm3FbmqkIqJRW + KwlQZt+6aRqlIwYKX78vKymmuxoKHWkgMUbRydCN3HBpb4EvX1ovGWHNfvCXZd7ufYxn + 2PyNl2szyEEuq7ewZljjnpk/Z9TfvPn0qNSpT9asYd63Up6lK9qC37i/60LLjevah9/p + c6EAyqBC+mpehX8UGQ+TpS/3Nfg1fhrMgDpsSfAUKHZWJcNTIRg3Y/rkKRPSKlsWtbcs + XTCnSWpxc5RZmGhFugdpNRIKGP+dAPS8AdAIwvtIV5C+xm5FJDNSCtIIpEqkOqRWpHuQ + ViNtjfZd+Az0pwnu5AbnxwzJo+Ea1H7ykHztkDydxcD+5wzJzx2Sl3AdwM+8IfXzh+Rx + ToP6p9+oB44n/ddtQH8/GVJ/x5B825D8kiF56b9rA/pbRuv/HwI3XlIKZW5kc3RyZWFt + CmVuZG9iago0MyAwIG9iago2NDkwCmVuZG9iago0NCAwIG9iago8PCAvVHlwZSAvRm9u + dERlc2NyaXB0b3IgL0FzY2VudCA3NzAgL0NhcEhlaWdodCA3MzcgL0Rlc2NlbnQgLTIz + MCAvRmxhZ3MgMzIKL0ZvbnRCQm94IFstOTUxIC00ODEgMTQ0NSAxMTIyXSAvRm9udE5h + bWUgL0pXVk9RTCtIZWx2ZXRpY2EgL0l0YWxpY0FuZ2xlIDAKL1N0ZW1WIDAgL01heFdp + ZHRoIDE1MDAgL1hIZWlnaHQgNjM3IC9Gb250RmlsZTIgNDIgMCBSID4+CmVuZG9iago0 + NSAwIG9iagpbIDI3OCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAw + IDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwCjcyMiAwIDAgMCAwIDAgMjc4IDAg + MCAwIDAgMCA3NzggMCAwIDAgNjY3IDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgNTU2 + IDAKNTAwIDU1NiA1NTYgMjc4IDU1NiA1NTYgMCAwIDAgMjIyIDgzMyA1NTYgNTU2IDU1 + NiAwIDMzMyAwIDI3OCA1NTYgXQplbmRvYmoKMjAgMCBvYmoKPDwgL1R5cGUgL0ZvbnQg + L1N1YnR5cGUgL1RydWVUeXBlIC9CYXNlRm9udCAvSldWT1FMK0hlbHZldGljYSAvRm9u + dERlc2NyaXB0b3IKNDQgMCBSIC9XaWR0aHMgNDUgMCBSIC9GaXJzdENoYXIgMzIgL0xh + c3RDaGFyIDExNyAvRW5jb2RpbmcgL01hY1JvbWFuRW5jb2RpbmcKPj4KZW5kb2JqCjQ2 + IDAgb2JqCihNYWMgT1MgWCAxMC42LjggUXVhcnR6IFBERkNvbnRleHQpCmVuZG9iago0 + NyAwIG9iagooRDoyMDExMDkyNDAwNTg1OFowMCcwMCcpCmVuZG9iagoxIDAgb2JqCjw8 + IC9Qcm9kdWNlciA0NiAwIFIgL0NyZWF0aW9uRGF0ZSA0NyAwIFIgL01vZERhdGUgNDcg + MCBSID4+CmVuZG9iagp4cmVmCjAgNDgKMDAwMDAwMDAwMCA2NTUzNSBmIAowMDAwMDU2 + NzAyIDAwMDAwIG4gCjAwMDAwNDkxMTggMDAwMDAgbiAKMDAwMDAwMTk1MCAwMDAwMCBu + IAowMDAwMDQ4OTU1IDAwMDAwIG4gCjAwMDAwMDAwMjIgMDAwMDAgbiAKMDAwMDAwMTkz + MCAwMDAwMCBuIAowMDAwMDAyMDU0IDAwMDAwIG4gCjAwMDAwNDg5MTkgMDAwMDAgbiAK + MDAwMDAwMjg0OSAwMDAwMCBuIAowMDAwMDAzNjMxIDAwMDAwIG4gCjAwMDAwMDQ4MjMg + MDAwMDAgbiAKMDAwMDAwNTM4OSAwMDAwMCBuIAowMDAwMDAzNjUxIDAwMDAwIG4gCjAw + MDAwMDQyMTcgMDAwMDAgbiAKMDAwMDAwNDIzNyAwMDAwMCBuIAowMDAwMDA0ODAzIDAw + MDAwIG4gCjAwMDAwMDIyNjMgMDAwMDAgbiAKMDAwMDAwMjgyOSAwMDAwMCBuIAowMDAw + MDQxODk2IDAwMDAwIG4gCjAwMDAwNTY0MzMgMDAwMDAgbiAKMDAwMDA0ODAyMiAwMDAw + MCBuIAowMDAwMDIxNDg2IDAwMDAwIG4gCjAwMDAwMjk1MDYgMDAwMDAgbiAKMDAwMDAz + NzU2NyAwMDAwMCBuIAowMDAwMDQxMDQ3IDAwMDAwIG4gCjAwMDAwMDU0MDkgMDAwMDAg + biAKMDAwMDAxMzQyNiAwMDAwMCBuIAowMDAwMDEzNDQ3IDAwMDAwIG4gCjAwMDAwMjE0 + NjUgMDAwMDAgbiAKMDAwMDAyOTUyNyAwMDAwMCBuIAowMDAwMDM3NTQ2IDAwMDAwIG4g + CjAwMDAwNDEwNjggMDAwMDAgbiAKMDAwMDA0MTg3NiAwMDAwMCBuIAowMDAwMDQxOTMz + IDAwMDAwIG4gCjAwMDAwNDgwMDEgMDAwMDAgbiAKMDAwMDA0ODA1OSAwMDAwMCBuIAow + MDAwMDQ4ODk5IDAwMDAwIG4gCjAwMDAwNDkwMzggMDAwMDAgbiAKMDAwMDA0OTI4MSAw + MDAwMCBuIAowMDAwMDQ5MTY2IDAwMDAwIG4gCjAwMDAwNDkyNTkgMDAwMDAgbiAKMDAw + MDA0OTM3NCAwMDAwMCBuIAowMDAwMDU1OTU1IDAwMDAwIG4gCjAwMDAwNTU5NzYgMDAw + MDAgbiAKMDAwMDA1NjIwMSAwMDAwMCBuIAowMDAwMDU2NjA4IDAwMDAwIG4gCjAwMDAw + NTY2NjAgMDAwMDAgbiAKdHJhaWxlcgo8PCAvU2l6ZSA0OCAvUm9vdCAzOCAwIFIgL0lu + Zm8gMSAwIFIgL0lEIFsgPDVmNWY4YzE5NzA0NDg2MjI4YzlkOTM2NWY1ODk0MTQxPgo8 + NWY1ZjhjMTk3MDQ0ODYyMjhjOWQ5MzY1ZjU4OTQxNDE+IF0gPj4Kc3RhcnR4cmVmCjU2 + Nzc3CiUlRU9GCjEgMCBvYmoKPDwvQXV0aG9yIChTaGluamkgS09OTykvQ3JlYXRpb25E + YXRlIChEOjIwMTEwOTI0MDAxMDAwWikvQ3JlYXRvciAoT21uaUdyYWZmbGUgUHJvZmVz + c2lvbmFsIDUuMy4yKS9Nb2REYXRlIChEOjIwMTEwOTI0MDA1ODAwWikvUHJvZHVjZXIg + NDYgMCBSIC9UaXRsZSAoY2JjLmdyYWZmbGUpPj4KZW5kb2JqCnhyZWYKMSAxCjAwMDAw + NTc4OTUgMDAwMDAgbiAKdHJhaWxlcgo8PC9JRCBbPDVmNWY4YzE5NzA0NDg2MjI4Yzlk + OTM2NWY1ODk0MTQxPiA8NWY1ZjhjMTk3MDQ0ODYyMjhjOWQ5MzY1ZjU4OTQxNDE+XSAv + SW5mbyAxIDAgUiAvUHJldiA1Njc3NyAvUm9vdCAzOCAwIFIgL1NpemUgNDg+PgpzdGFy + dHhyZWYKNTgwNzUKJSVFT0YK + + QuickLookThumbnail + + TU0AKgAACAKAACBAAkQUkLeELeBwuGP+HQyIRAAxOIxWLReIvONAB8x2MR+QSGRSOFge + TAAGymSSuLw5/yCJgGWTOaQSDQlbvCdAB3T0APWgAAC0OhUQB0eOR5+0sATEABeoAAI1 + OmxSawJ91kAM+uAAM1+r2GxSB0WUADC0UUC2KXAB1W+eT5/XMAAS7ACTAcAUt+gCsvsA + PzBAAE4UABPEAAIYux1eDEhL5Fbx18gANZcAAzNABp53M5sF6EAPHSAAR6cAPrVAB260 + AOnYAAX7O63eZzp4ABubsADTfY3gcEAM3iAASccAA/lTS5v4ANfocnl4sIc/o18MgDcS + iVaEFgCNPMABTyABzecAUcB8bkcKQkH4FdKfNUCr7Q2Hr39AB2f0AArAAAHlAYACdAz0 + qQha3nUAB3wcAATwikjYHSAB7QuACynQAAcw6kByRA2oCKeqMHHeqSqHpFTXti04RoY5 + oAAFGaGMEfjUtW7yQGTHjTNQ8gKJAe8hu0nbznMAAOyUAALSaAEhnuABnSmuJ3L8rS8g + BFR6LOtMIhOhhqzEAAMTKw7EvciwgTWNZUzcSkmgtC0MMKBIARi1R9MCwaUgakC/gAXd + BQhCSnLaipQUStQACLRsOQ8j5j0kAB8UrSlLN2bkRAAJlOgAYtQQbB8BnkwjDTiqqZUA + tAYAAFlXpBUBirwk4JVskBvVyABVV4AAsV+AAe2FRcoUWu0RxtLUVuokB12dFkK1eFk0 + osH9rC0OFslKJVuAAWlvxIC4AHPciGANc9FspcIABxdrhuKvgABrecZRokdnHW3TeQ6H + K2IehdDX+gZu4I9gSPwl6nJnHhkx9F8gJJGLOmmpLKt8GgAFXjVU0ufAABDkEqr2pjNA + YAAOZRgwAITdl3KhcVqIiI2ZiURWbFqaOcyTJdpJ+oMdPVOZ7aE0bSnFo4AAdpVH37kq + aXxfVNX5mOqJHhmVMQCaQn3PUtPEfB2XyZBqGq0YBRHJQOvG8sY5fUUTwvocZgFcdynB + u9CTAGO9wQ9eqoWx5Y8EW5w8KABrcQty4Lbud67pQCnTqAAUcoAAU8uAEdYUljSHjQNB + 3VQG/6ottzgMAAEdSAAidY6QHoEpify5LVSzu5zMz93DwHvjxv98ABoeDjqGWP2znRip + 1UZ7lAOVovXRogx6cLbZKgHr4c8+NRfJcl02+uDZLt87PcboreJzGmZjMgNEfoJIp3ig + IigBLoAK6H/BK7nwAfThmIYSXHIwLopVjyxYCJXMA41LLqQEKmTsUMtbm33PRJuywkSh + yIwSgnBgkL4xzDGF2AAFQHQMQThMQIZo3xyuTCGE1zJoiRwcIZBqE5JHpQWhrDkhiGkM + jIF4WcEYHyaDzHq0MfRWgFOqASAh55Ax8EeiYXp3hlYlxNLGMQaqmgWBICiYoxkOowED + huQiMMYYeDoh9ECIRMxrDdHAbocQ5D0o0AkA8BxuhxxyAWYYAxRB6D2SiAwBSdghA2Bk + ACQcDSZxYi1FyLx1YyxgjGQqSMOYzxpBhEEmg8h6PXj+lEARFAHgNZMO4eKpR+OxIHEx + 04A0aAUAjJAq8jFXSOWZJWHMk5cQ1kvD+TMa5dnClpFuLst5gwTl1Md9yCwADHFiKlzI + AyZP2L63QgRLyBHOJkP4q0yiBlHRGO4fJgAjBYC+66bz7pkzpWoUwe47EGDkGuNZDK5R + 8AFdOPwBCdh/gCb9OwiqWTsMqe9QBqs62/txbeyIhbxXGvZLajoqYEQAK2AkQIYovSBA + gBLNkvo/wFHfH8Alkw/TbEDXizkaKKKKUGKueEADewYvfOAiZIpuUtsce8vFZJC1mUWh + ed8itCE0w8P6OwrxYFmE9SsxAhb41mUwagPcbg2XLDWfUAILgZyBAUTkSOnJ0BrryXpS + 4mrE2dtqmMSstquRvAALaqhwo4QAAlrsxU7juSBvjLakedDaSGVEMaqQADBBumyNo40t + opbGNFc8258YXbJAAoKQMctl0npEOOwesCK6YWGAADy0SQkiUwVRAeBkCHFIMA3a0khb + UYtBI+cQZoALWgbTImYj68acrkHPIgBQCgAAeuIspLgyrkAAsvCtLNbp0KsaZXAh6Ymy + 0DcBBWMh7rqNrSC26hTkkYvjghXkkC8WWIupoRgUV67AkFtDaMj7wRoOodUplHCeqcg+ + v0AAbV/bjPDUBUC1NhAV4Fcm5Uj7V1koAAqSCuYAFEigAAF/CgAE1hAsouhYt43ikLaO + OK4dxSPnbwfdcgpOE04feA8IJOLZmqTahDJ7140+2rAAFLHAABsY7PMei/QPlNkfgPTA + bORb3g8JBQqhSgHsvFwYABqBC8i1WpkxxdVwbhFOadbM4tt7cwlI+W2hWU1NgtzMrtXr + xaYXoqYyMvrTnmN5AAL/OgAARZ3vbieHBwV1DEz9j1JBswX1JOyuq1LjVALJNaO1utv6 + /BP0hSxjhIztjU0tkesxNbaW2tcmXMBLLCH6o1alkAIaKq3gPk87b2SnWE0sNTU9F1hA + 9zyQfPaaaYViK2V17N43urosIoBeOdwRJdVaZcDV6SV0wFzs179PdMkYcavEIe1UztaJ + mW2myUxnX8v8jG8bSo7qAWKoC8eZgWquVhuLWuKHoLxgPTmnL2V43jyxeTX7p4aEsWTM + zNq8dokYKdUBVFlSxqAgO+OhW0CBWp3vjVLOHSI2Ch0W2GTACKb7ZjxZgXASRlO403/j + k2CB8gm6SEgIAAAOAQAAAwAAAAEATQAAAQEAAwAAAAEAGQAAAQIAAwAAAAQAAAiwAQMA + AwAAAAEABQAAAQYAAwAAAAEAAgAAAREABAAAAAEAAAAIARIAAwAAAAEAAQAAARUAAwAA + AAEABAAAARYAAwAAAAEAGQAAARcABAAAAAEAAAf5ARwAAwAAAAEAAQAAAT0AAwAAAAEA + AgAAAVIAAwAAAAEAAQAAAVMAAwAAAAQAAAi4AAAAAAAIAAgACAAIAAEAAQABAAE= + + ReadOnly + NO + RowAlign + 1 + RowSpacing + 36 + SheetTitle + Canvas 1 + SmartAlignmentGuidesActive + YES + SmartDistanceGuidesActive + YES + UniqueID + 1 + UseEntirePage + + VPages + 1 + WindowInfo + + CurrentSheet + 0 + ExpandedCanvases + + + name + Canvas 1 + + + Frame + {{655, 201}, {693, 937}} + ListView + + OutlineWidth + 142 + RightSidebar + + ShowRuler + + Sidebar + + SidebarWidth + 120 + VisibleRegion + {{-1, 0}, {544, 768}} + Zoom + 1 + ZoomValues + + + Canvas 1 + 1 + 1 + + + + saveQuickLookFiles + YES + + diff -r 21684fe357d9 -r 4b0a368cc858 presentation/fig/reconnection.jpg Binary file presentation/fig/reconnection.jpg has changed diff -r 21684fe357d9 -r 4b0a368cc858 presentation/fig/single.graffle --- /dev/null Thu Jan 01 00:00:00 1970 +0000 +++ b/presentation/fig/single.graffle Mon Sep 26 10:38:35 2011 +0900 @@ -0,0 +1,666 @@ + + + + + ActiveLayerIndex + 0 + ApplicationVersion + + com.omnigroup.OmniGrafflePro + 138.28.0.154505 + + AutoAdjust + + BackgroundGraphic + + Bounds + {{0, 0}, {559, 783}} + Class + SolidGraphic + ID + 2 + Style + + shadow + + Draws + NO + + stroke + + Draws + NO + + + + CanvasOrigin + {0, 0} + ColumnAlign + 1 + ColumnSpacing + 36 + CreationDate + 2011-09-24 03:02:49 +0900 + Creator + Shinji KONO + DisplayScale + 1.000 cm = 1.000 cm + GraphDocumentVersion + 6 + GraphicsList + + + Bounds + {{414, 61}, {86, 18}} + Class + ShapedGraphic + ID + 12 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph1-1} + + + + Bounds + {{321, 61}, {86, 18}} + Class + ShapedGraphic + ID + 11 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph-4} + + + + Bounds + {{228, 61}, {86, 18}} + Class + ShapedGraphic + ID + 10 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph1-3} + + + + Bounds + {{135, 61}, {86, 18}} + Class + ShapedGraphic + ID + 4 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph1-2} + + + + Bounds + {{42, 61}, {86, 18}} + Class + ShapedGraphic + ID + 3 + Shape + Rectangle + Text + + Text + {\rtf1\ansi\ansicpg65001\cocoartf1038\cocoasubrtf360 +{\fonttbl\f0\fswiss\fcharset0 Helvetica;} +{\colortbl;\red255\green255\blue255;} +\pard\tx560\tx1120\tx1680\tx2240\tx2800\tx3360\tx3920\tx4480\tx5040\tx5600\tx6160\tx6720\qc\pardirnatural + +\f0\fs24 \cf0 ph1-1} + + + + GridInfo + + GuidesLocked + NO + GuidesVisible + YES + HPages + 1 + ImageCounter + 1 + KeepToScale + + Layers + + + Lock + NO + Name + Layer 1 + Print + YES + View + YES + + + LayoutInfo + + Animate + NO + circoMinDist + 18 + circoSeparation + 0.0 + layoutEngine + dot + neatoSeparation + 0.0 + twopiSeparation + 0.0 + + LinksVisible + NO + MagnetsVisible + NO + MasterSheets + + ModificationDate + 2011-09-24 03:13:17 +0900 + Modifier + Shinji KONO + NotesVisible + NO + Orientation + 2 + OriginVisible + NO + PageBreaks + YES + PrintInfo + + NSBottomMargin + + float + 41 + + NSLeftMargin + + float + 18 + + NSPaperSize + + coded + BAtzdHJlYW10eXBlZIHoA4QBQISEhAdOU1ZhbHVlAISECE5TT2JqZWN0AIWEASqEhAx7X05TU2l6ZT1mZn2WgVMCgUoDhg== + + NSRightMargin + + float + 18 + + NSTopMargin + + float + 18 + + + PrintOnePage + + QuickLookPreview + + JVBERi0xLjMKJcTl8uXrp/Og0MTGCjUgMCBvYmoKPDwgL0xlbmd0aCA2IDAgUiAvRmls + dGVyIC9GbGF0ZURlY29kZSA+PgpzdHJlYW0KeAGtks1uwjAQhO9+ijnCIeC1nR+u0FYq + p1Ii9VD1gKKgUkGBQPv83U1qMBIkPVSRFSea9e434z1m2EPzE8cjpJlFVeIFnxhODoTi + AKqfQ4FID2LICoRLDJ/Kqih3x6/FWlUrPop0Vh/nNCwhyUYoNhg+bgh327pXICDjOhSG + UlGo22dY0h1nOG1DharnGD6X68Vx9V1OtutttdqUx2pVgAmcQaodsgSUiRdL5p/y+mgc + mcxrOo35BHtF9UckL7GOp+TyhM7Vc0ZmJ404qcEuskG+JouRUl00zhWZ398GEcUD6+IU + Djlb90ADzUX5Eq/o7fqSg0Hv3W/IbyLevSGfqvu8aXoZH1ludwkWzHKaX2QeQDF+GwCl + 2ZkA7QRsVTeBaQhwncAYbucJZLZl6OaJQGSeQAJsIzApCYFcr3HeQfCnDGxfSQY3CKzh + dp6guVzXMhCZJ+jKwCbuVgZmoHWWjoJr1BJC5Fq9d8RtgsnVde9F5ifv8l5u+L96T6H3 + sx9TxgqvCmVuZHN0cmVhbQplbmRvYmoKNiAwIG9iagozODcKZW5kb2JqCjMgMCBvYmoK + PDwgL1R5cGUgL1BhZ2UgL1BhcmVudCA0IDAgUiAvUmVzb3VyY2VzIDcgMCBSIC9Db250 + ZW50cyA1IDAgUiAvTWVkaWFCb3ggWzAgMCA1NTkgNzgzXQo+PgplbmRvYmoKNyAwIG9i + ago8PCAvUHJvY1NldCBbIC9QREYgL1RleHQgL0ltYWdlQiAvSW1hZ2VDIC9JbWFnZUkg + XSAvQ29sb3JTcGFjZSA8PCAvQ3MyIDExIDAgUgovQ3MxIDggMCBSID4+IC9Gb250IDw8 + IC9GMS4wIDEyIDAgUiA+PiAvWE9iamVjdCA8PCAvSW0xIDkgMCBSID4+ID4+CmVuZG9i + ago5IDAgb2JqCjw8IC9MZW5ndGggMTAgMCBSIC9UeXBlIC9YT2JqZWN0IC9TdWJ0eXBl + IC9JbWFnZSAvV2lkdGggMjE2IC9IZWlnaHQgODAgL0ludGVycG9sYXRlCnRydWUgL0Nv + bG9yU3BhY2UgMTMgMCBSIC9JbnRlbnQgL1BlcmNlcHR1YWwgL1NNYXNrIDE0IDAgUiAv + Qml0c1BlckNvbXBvbmVudAo4IC9GaWx0ZXIgL0ZsYXRlRGVjb2RlID4+CnN0cmVhbQp4 + Ae3QgQAAAADDoPlTH+SFUGHAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwY + MGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAED + BgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDA + gAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwY + MGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwYMGDAgAEDBgwY+BoYyoAAAQplbmRzdHJl + YW0KZW5kb2JqCjEwIDAgb2JqCjI0OAplbmRvYmoKMTQgMCBvYmoKPDwgL0xlbmd0aCAx + NSAwIFIgL1R5cGUgL1hPYmplY3QgL1N1YnR5cGUgL0ltYWdlIC9XaWR0aCAyMTYgL0hl + aWdodCA4MCAvQ29sb3JTcGFjZQovRGV2aWNlR3JheSAvSW50ZXJwb2xhdGUgdHJ1ZSAv + Qml0c1BlckNvbXBvbmVudCA4IC9GaWx0ZXIgL0ZsYXRlRGVjb2RlID4+CnN0cmVhbQp4 + Ae2b+y+bbRjHHUr13FItPbytp05tVfdMrShrpQ3qMJvTakNQZLXSEY1mDqsYjcaMjTiE + zmQIJkiDyLa8/9p7PbU3yyjy/vLm3pN+fyJ+uT7397ou93Pf1x0VFVFkBSIrEFmByArc + vgLRf4BuJ7jyV+CJ+aVYJPUrvhgI9wpAuF8vmYCFQolDXhQKBEog3oUWorpEiqdSEy5F + Q04/A6NS42HpAe4Osp9UcXHxgESj0xkMBpPJZCEoCAuCo9NpCQkE2+1kBFYsZB9AARKT + xWZzuFweouJyOWw2C+jogHZJdkMyhrDAK4KKxebweIlJfH5yskAgRE4CQXIyn5+UyONx + 2CyCDDyDZAwPRrhFmEVQcYFJIExJFYnEEokUOUkkYpEoNUUoADZuiAwsI8DCdMIQFhQW + gwlUAAVEUplcnoYpEBSWJpfLpEAHaEDGZBBlFh4smqgtKg3M4iUJUkTAhCkyMrOylUqV + So2UVCqlMjsrM0OBAZsoRZDEA8toVKJ7XDcM7AKsBAaLw+MLRVI5lp6pVOVotFocx+8j + JghJq9XkqJSZ6ZhcKhLyeRwWOBZHCZOJYBe0DHoISyzDMrLVGi2el/9AX1gEMiAkIp5C + /YP8PFyrUWdnYDJxCIwOzSOMYdFgVwKdyebxU8QyRZY6F9fpCw0lRlOp2Wy2ICUIqNRk + LDEU6nV4rjpLIROn8HlsJj0BDLuaiJd2MQBLKJanKzW4rsBgLLWUVVirqmseIaaa6ipr + RZml1Ggo0OEaZbqccIzNCGcYwQVZyAUsWbpSm6cvNpnLK2tq6+obm2zIqamxvq62prLc + bCrW52mV6UQqcllg2LVEhDSMT2CwEwUimUKZqysyWqzVTxpsz1vb2jvt9i6kZLd3tre1 + Prc1PKm2WoxFulylQiYSJBKGXUvE6Bjo8WBXihTL0uQVmcqqHjc2t7bbexwvnX39LqTU + 3+d86eixt7c2Nz6uKjMV5WmyMGkKGAa9/mqBEWkI1SUQyTPUuN5YVl1na+nodjhdg0Pu + YQ9iGnYPDbqcju6OFltddZlRj6sz5CIBUWGQiL9vOUJcnCShFMvO1RVbAKvN7ugbcHtG + xsa9E4jJOz424nEP9DnsbQBmKdblZmNSYRInLFc8jcnlp8rS1XiByfrY1tbV63J7xryT + U74ZP2Ka8U1Nesc8bldvV5vtsdVUgKvTZal8LpMWf80vSjyNRaRhpkZnMNc0tth7X7lH + vG99/nfv5z8gpvn37/y+t94R96tee0tjjdmg02QSiciiQeO4kocUKp2dKJRg2Vr9w/In + zR0vXO6RiWn/3MLi0srKKlJaWVlaXJjzT0+MuF0vOpqflD/Ua7MxiTCRTaeG4WKwobwU + KrywtLKhtdsJWL7Z+cXltfXAp02k9Cmwvra8OD/rAzBnd2tDZWkhrlJAgbEZYbigHfJT + /8rIyYM0tLU7BjxvpmcXllY3Nre+bO8gpe0vW5sbq0sLs9NvPAOOdhskYl5Oxl+pfGiI + 1/yCNs/hE+WVX1JW+8zudI9O+ueX1gKft3f39g+Q0v7e7vbnwNrSvH9y1O20P6stK8kn + CoxPNMSr9QVcXODK0uqNFXWtPS6P1ze3uBrY2tk/ODw6RkpHhwf7O1uB1cU5n9fj6mmt + qzDqtVnAxQ3LxeQmi9KgbZis9W2OwdeT/oXlDcA6PD4JIqaT40MA21he8E++HnS01VtN + 0DjSRMlcZji/mLxkMaa8V1ha1djeOzQ69e7j2ub23uFx8PTsHCmdnQaPD/e2N9c+vpsa + Heptb6wqLbynxMTJvBu4BBJMiReZq5s6ne5x39zS+tbu16Pg6fkFYjo/DR593d1aX5rz + jbudnU3V5iJciUkEN3NBmweup/a+Ye/M++XAl73DE8D69h0pfbs4Pz053PsSWH4/4x3u + sz8luFSKO7lqbPZ+z4R/YeXT9v5R8AywfiCl798uzoJH+9ufVhb8E55+OzT6m7jgMyWB + yRNIQn6F4fobIf24neu3k4Do2DjYHsJ2Q33fYHlk63KBXx9WN3cOjoPnF99/IEQFofz4 + fnEePD7Y2Vz9AH65umyPLIb7athwwAYxLjbCFfHr/8nX/5KHJO0bUXdw/al9/jcuEv5f + Juc+iqT7XpJ+p5D1u5Kc5wAUkp7bUKgkPWcj67koSc+xY0l670Dcf5Hynoic93pkvYcl + 7705WecciDEi8s2lRJF0jgi4yDr3Rc45PcIwMs5VAheRieSbg70EI9/cchRZ58wvwcj3 + LiAqBBZ68UCudxwwWfTzhQrxlIhE726IkakQWQzZ3kmFhsFI+a4tRPYvHhCirV/RRn6K + rEBkBSIrEFmB8CvwD3hIAgoKZW5kc3RyZWFtCmVuZG9iagoxNSAwIG9iagoxNzUyCmVu + ZG9iagoxNiAwIG9iago8PCAvTGVuZ3RoIDE3IDAgUiAvTiAzIC9BbHRlcm5hdGUgL0Rl + dmljZVJHQiAvRmlsdGVyIC9GbGF0ZURlY29kZSA+PgpzdHJlYW0KeAHVWWdYFM2y7tm8 + wBKWnJecc1pAcs45isCSc86oKAJKUBCVDCIoICoISDCBgAlBkggqiEQFQUUEFBN30M/v + O+c59/y7f+48z/S8W1VdXTvV3dVVAwD7KiUiIgRBD0BoWEyUrZEuydnFlYSdBFjADfCA + BzBRvKMjdKytzcF/vbbGAbTLfCK1q+u/iv3vDAYf32hvACBrmO3lE+0dCuNrACB0vSOi + YgBAfoHpw/ExETBGPYQxUxRsIIyndrH/b7y2i71+YTTql4y9rR4AaDYAcDQUSpQ/AARB + mE6K8/aH9RD0AcAQw3wCwwBgdIaxpncAxQcA9iJYRjI0NHwX34WxqNe/6PH/F0yheP2t + k0Lx/xv//i9wT3hg/cDoiBBK4q8f/5dNaEgs/L5+XUS4pQkLsdz1DQt8L/lQ9M3gJxd8 + /4wI+eUzWAbi8A1zsINpu1gyzMvS6i+s6RdlaAtjuC9kHRGju4vhdwb5RcRY2/9FP5gU + oGcJYxqYfto32uCPnsogiumuz2hhenNUrK0DjAVhfCc6zs4AxvCMghaSAuyd/pLZ8PHV + /4uOQPgFGpr8lkEQA2NMdsdign3OHxxutmsDPBZCGZiBEOALYkEU3IYBKWAO9ID+X60U + 8AMUmBMH86JBMHgN41C4RzjcJxzGpL/k9P6DYvirnz/c7981koA3LBv795i/RyPBY/7R + GQh8YPyHToHH2OXtWhftEZj6z5h/JHb1/bJG9pLssuz3PzahhFHyKCWULkoDpYlSBSQU + C4oDSKEUUWSUDkoLpQ7zVIEhWIA1+/+xcVd/aLNfXFF4oppjAMzd/e9ef7jA8Zd04N+/ + /8MCEDi42r76xwIAYnwT4HUAgF54RGJUoH9ADEkHXrm+kiSTMG9pSZK8rJzcLvv/zbW7 + Z/029pPtr70IYhn6hxa1BYD6E3g+jv5Dcy8DoLkQALov/9CE9AFgKACg28s7Nirutz7U + 7gMNqAAdPEPZ4f1QAIjC71keKAN1oA0MgCmwAvbABbjD8ycAnoNRIB7sB4dBBsgGeeAM + KAFnQTWoA1dAM2gHt0A3uA/6wTB4CibBDFgEK2ANbIFvEARhIQLECLFDvJAQJAHJQ2RI + EzKAzCFbyAXyhPyhMCgW2g8dgbKhfKgEOgddhJqg61A31AeNQM+hWWgZWoe+IpAIGgQT + ghshjJBBkBE6CDOEPWIfwh8RiUhCpCFOIIoQVYjLiDZEN6If8RQxg1hBbCIBkhrJguRD + SiHJSD2kFdIV6YeMQh5EZiELkFXIBuQN5APkE+QMchW5jcKgGFEklBQ8T41RDihvVCTq + ICoHVYKqQ7Wh7qKeoGZRa6ifaAKaCy2BVkOboJ3R/uh4dAa6AF2DbkXfQz9FL6K3MBgM + C0YEo4IxxrhggjDJmBxMOaYRcwczgpnHbGKxWHasBFYDa4WlYGOwGdhi7GVsF3YUu4j9 + gqPG8eLkcYY4V1wYLhVXgKvHdeJGcW9w3/D0eCG8Gt4K74NPxOfiz+Nv4Ifwi/hvVAxU + IlQaVPZUQVSHqYqoGqjuUU1RfaKmpuanVqW2oQ6kPkRdRH2V+iH1LPU2DZFGnEaPxo0m + luYETS3NHZrnNJ8IBIIwQZvgSoghnCBcJPQSpglfaBlppWlNaH1oU2hLadtoR2nf0+Hp + hOh06NzpkugK6FrohuhW6fH0wvR69BT6g/Sl9NfpJ+g3GRgZ5BisGEIZchjqGfoYlohY + ojDRgOhDTCNWE3uJ84xIRgFGPUZvxiOM5xnvMS4yYZhEmEyYgpiyma4wDTKtMROZFZkd + mROYS5lvM8+wIFmEWUxYQlhyWZpZxlm+snKz6rD6smayNrCOsn5m42TTZvNly2JrZHvK + 9pWdxG7AHsx+kr2d/SUHikOcw4YjnqOC4x7HKicTpzqnN2cWZzPnCy4ElziXLVcyVzXX + ANcmNw+3EXcEdzF3L/cqDwuPNk8Qz2meTp5lXkZeTd5A3tO8XbxvScwkHVIIqYh0l7TG + x8VnzBfLd45vkO8bvwi/A38qfyP/SwEqAbKAn8BpgR6BNUFeQQvB/YKXBF8I4YXIQgFC + hUIPhD4Liwg7CR8VbhdeEmETMRFJErkkMiVKENUSjRStEh0Tw4iRxYLFysWGxRHiSuIB + 4qXiQxIICWWJQIlyiRFJtKSqZJhkleSEFI2UjlSc1CWpWWkWaXPpVOl26fcygjKuMidl + Hsj8lFWSDZE9LzspR5QzlUuVuyG3Li8u7y1fKj+mQFAwVEhR6FD4qCih6KtYofhMiVHJ + QumoUo/SD2UV5SjlBuVlFUEVT5UylQkyE9manEN+qIpW1VVNUb2luq2mrBaj1qz2QV1K + PVi9Xn1pj8ge3z3n98xr8GtQNM5pzGiSND01KzVntPi0KFpVWnPaAto+2jXab3TEdIJ0 + Luu815XVjdJt1f2sp6Z3QO+OPlLfSD9Lf9CAaOBgUGIwbchv6G94yXDNSMko2eiOMdrY + zPik8YQJt4m3yUWTNVMV0wOmd81ozOzMSszmzMXNo8xvWCAsTC1OWUxZClmGWbZbASsT + q1NWL61FrCOtb9pgbKxtSm1e28rZ7rd9YMdo52FXb7dlr2ufaz/pIOoQ69DjSOfo5njR + 8bOTvlO+04yzjPMB534XDpdAlw5XrKuja43r5l6DvWf2LropuWW4je8T2Zewr8+dwz3E + /bYHnQfFo8UT7enkWe/5nWJFqaJsepl4lXmteet5F3qv+Gj7nPZZ9tXwzfd946fhl++3 + 5K/hf8p/OUAroCBgNVAvsCTwY5Bx0Nmgz8FWwbXBOyFOIY2huFDP0OthxLDgsLvhPOEJ + 4SMREhEZETORapFnIteizKJqoqHofdEdMUzw4XAgVjQ2PXY2TjOuNO5LvGN8SwJDQljC + QKJ4YmbimyTDpAvJqGTv5J79fPsP7589oHPg3EHooNfBnhSBlLSUxUNGh+oOUx0OPvw4 + VTY1P3XjiNORG2ncaYfS5tON0i9l0GZEZUwcVT969hjqWOCxwUyFzOLMn1k+WY+yZbML + sr/neOc8Oi53vOj4zgm/E4O5yrkVeZi8sLzxk1on6/IZ8pPy509ZnGo7TTqddXrjjMeZ + vgLFgrOFVIWxhTNF5kUdxYLFecXfSwJKnpbqljaWcZVlln0u9ykfrdCuaDjLfTb77NfK + wMpn54zOtVUJVxVUY6rjql+fdzz/4AL5wsUajprsmh+1YbUzdbZ1dy+qXLxYz1Wfewlx + KfbS8mW3y8NX9K90NEg1nGtkacy+Cq7GXn3b5Nk03mzW3NNCbmm4JnStrJWxNasNakts + W2sPaJ/pcOkYuW56veeG+o3Wm9I3a2/x3Sq9zXw7t5OqM61zpyupa/NOxJ3Vbv/u+R6P + nsle596xuzZ3B++Z3Xt43/B+7wOdB10PNR7e6lPru/6I/Ki9X7m/bUBpoPWx0uPWQeXB + tiGVoY5h1eEbI3tGOke1Rruf6D+5P2Yy1v/U8unIuMP4swm3iZlnPs+Wnoc8//gi7sW3 + yUNT6Kmsl/QvC6a5pqteib1qnFGeuT2rPzswZzc3Oe89v7IQvfB9Me014XXBG943F5fk + l24tGy4Pv937dnElYuXbasY7hndl70XfX/ug/WFgzXlt8WPUx531nE/sn2o3FDd6Nq03 + p7dCt759zvrC/qVum7z94KvT1zff4r9jvxf9EPtx46fZz6md0J2dCEoU5ddZAAm3CD8/ + ANZr4RzCBc4dhgGgov2dU/ySgNMVCJaBsQS4C4lBcdA0HIEvIknIUpQA6jJaGz2FycGa + wDFsEd9FdYX6Mk0roZf2JT2GQYEYztjK9J3FkLWcbYvDmvMSN4bHnbeFj8DvK3BNCC3s + LFIl+lacLLFfsksayOjJpsv1KiAV9ZTSlG+pbKhKqLmq5+25q7GlJaLtpJOh26w3ZYAy + FDMyMfYzSTE9Y3bJ/LbFoOWU1XsbGlt5Owf7BIcSx5tOL5y/unLv3ePmsi/GPc+j3rOX + MuX1yYfaV9BP1d80wCHQMygoOCIkKfRQ2JHwoxHHItOj0qL3x0THBsV5xtsm6CUqJLEl + fUy+u7/wQNhBwxS+Q+DQ/OG+1OYjlWkn09MzEo9GHwvLDM4Kzz6V8/aEWu6xvNF8tlMu + p4vPjBZii1SL/UpOlN4om65AnhWptDwXV1VW3X1+vgZXK1FneTGiPv9S8+XBK6uN+KvC + TdrNe1tir+W2Xmy73z7XsXOD46bSLYvblM6YrvQ7Bd0Xehp6b9y9f2/s/ssHiw/f9S0+ + etZ/b6D5ccngwSH3YfUR5pEPo71PisfCnmqPE8cXJhqeRT9XfL7xonHSb4pzaujl4Wn5 + 6YVXBTNms8jZm3Nx8yoLYOHxYuFrzzeibz4sNS9HvpV++3alatXlHeFd5/uIDwIfptbO + fgxcV/tE/LSxMbc5uTX3eXOb6avWt+jvV3+s7wjt7MD+5wZHIAbICWpH8CDiEDNIc2Q3 + fOIfQntjEJgGrB9OBLeGH6Rqpa6jqSRU07bQPabfILIzGjMlMjexLLOJsntxVHG+4Gbl + sec9SrrN91FASNBKKFn4vEi/6Dtxegk5STupCOnjMldkH8rNyH9RpFPiV1ZTsSB7q8ao + HVE/tadKo0GzQ6tLu1fnnm4vHFduGbQaXjaqMi4zqTZtMusyf2QxbjlrtWK9YQvsqO2J + DhyO/E4iztIuCq7kvZpuBvuM3Y08tD3JFFkvEW9eHxZfgh/Kb9v/Q8BC4LOggeDukPbQ + +rCK8NyIhEjDKELUWHRFTEgsOQ4b9yK+MSEjcV+ScjJD8ur+RwfqD2amBBxyOOyUSjkS + mhaXnpJx9OipYxWZtVlXs2/lPDg+cuJl7lLep3xwiv403xn5Ap1CuyK/4riS9NKCspry + axVdZwcqx8/NVi1Xfzi/XUNVK1ZnfjGyvuRS9+W3DfSNGleDmgqb77d8bhVtc2vP7ei+ + vnGTdEvvtk9nWlfZncbu7p7+3sG7w/Du1Pmg6WFVX+6j5H7/AYfHuoOSQ0xD28PPR1pH + 854Ej+k/5X76ZfzJRPOz/OcxL1wn9aZkXnJOU01/fbU6MzP7dK5//t5C92Ln6843t5Zu + L3e+7VrpXX38bvEDZk3xY9T6rQ2WzSNbP76kf2X71vrD6efPX/53hJggX3j1KyLSEW+Q + hsjLKG7UCTQ1OhNDxJzHamKncRl4Mn6Lqo36EI0dQYqWQLtON0c/xNBL7GZ8zPSS+QMr + io2NXZRDm9OWy5c7nuco7xnSeb4W/i6BPsEnQq+El0XWRL+IAwmcJE5yR+qj9CuZYdk7 + co3y5QqZirFK7sqGKhJkOvIH1RG1BvXMPT4aWprsmmtafdrVOim6Hnpa+jwGCIMFwwdG + 9cbHTaJMHczUzbktgMWcZY9VtXWajZ+tpZ26vaADjcMHx0Gneuc0F2dXcdfvewfcSvcF + uqt5UHu89GyiZHn5eot7L/qU+7r4cfi98q8PSAy0CBIKhoJfhtwMLQ6LCbeMEIn4Edkf + lRdtF8Ma8yK2LG5fPCl+LqE60TtJKOl1cs1+/wMiB5YO1qdEH7I8LJvKkLp55EVaZ3oV + PE9CjllnymcxZW1lj+dcO37yRHiuTZ7SSZ586vyvp96dnjszUTBWOFb0tPh5yUzpctlm + +c5ZfCXXOa2qsOry84M1UK1inf/F0vq+S+tXWBqUG62v+jTFNB9uOXGturWzbbx99Tq4 + QbzJf0vxtkmnV9fhOzXdY71Ud43uHb8//pC6T+mRR3/OwK3Ha0Niw5SRytHpMZ6nbuNl + Ey+es71wnjw3tTXt8mp41m0et/Ds9dgyfiXmPXHtzaf5z9xfw3+82vX/79rSbkzAKANw + gQEARzgHtbwEQGU4AEJwvYYerpdYEwCwVwWI2B6AoBkAUM7A3/EDAQhwrikPTOAsPwmc + hrPHh2AOfIdYIQXICgqGjkEXoB5oBtpB8CI0ER6IQ3BWdw+xjKRFKiLdkGnIK8gJFBIl + h/JAnUB1odbRwmhX9El0HwaJ0cQkYdoxW1gVbAL2JvYnzgB3HDeB58dHwhGJjsqT6ho1 + ntqTuoOGkSacZpAgQ8gnbNN60Q7SadA10PPTFzEQGU4QccQU4iZjOONbJn+m18wBzCss + ESybrAfZqNiK2EXYr3NYcixwHuBi47rGbcu9wVPKa8S7Sarh28tPz98ncFTQUIhK6Ilw + uUi4qL4Yl9hn8WcStyVrpM5JN8r0yI7KLch/UoSUaJRZVXjIQnBkU1BX2bNHQ1dTT0tU + a1W7Qydd10FPVO+n/guDDsMio4PGgSaOpiZmOuaaFmRLDSsda2MbG1tnOy/7cIcUx1NO + tc63XSZc193o98m623sc8KyljHkjfBR9g/3O+U8GsgU5BOeHjIYxhjtFVEQuRavG5MUu + xxsn1CbRJh/Y//aga0rfYd3UzjTV9NGj8ZliWa9yqk5E5lnkK53mLyAVcZYIlMlV6FeG + VTVdQNb6X1y8HNaIaKq8ptE2dN375rtO+zvNvTz3ch/SPCp+rDH0fvTq0/RnoZNu0/Iz + c/Oxix+XbN8Wrna/f7I2uH5jo3Ar4IvM9tq3Kz/8f+0fSLjeIABXGOzhGlIWqAG94BXs + fXa4JuAIVwFOQy3QGLSJYEWowbl9KqIWMQhn8TxIE2QMshI5hNxBycKZeSFqEI2BzxzJ + 6OvobYwa5gCmG4vD2mBLsIs4RVwabhwvjj+Mf0YlT3WcaoXanLoBzn4TaeYJVoQuWnna + Ojp+ugp6bvpKBmGGRqI8sZlRkfE6kzZTH7MD8zxLNCuStZBNhu0huzcHAo5VBpxvuU5y + q3K/5initSHRkB7xZfNbCDAKTArWCMUKG4iwi3wUfSRWLX5EwlvSRIosbS4TLpsv1yQ/ + rvBFiU1ZVcWNnKJ6Xq1P/b0Gq6au1j5tio63rp9eqH6CQbphnlGFcZPJA9NJs3ULgqWo + lYG1r80x21q7PvsVR3onNWdPl0zXjr3L+zjdrT2OeT7wwnib+eT5TvpLBiQH9gdjQnRC + 48Kawl9H8kW5RpfGTMQxxFsnnEh8nEyz3+LA8YOPDxEPO6dWHFlJ18+oOYbPjMhazPE6 + PpcbdhKXX3PaqYCucKi4sDSwXPcse+XHqpHzN2ou112t77r8tGGtiblFsdW5Pf76mZtX + bvd0DXW/6n1379tD9CP6Ac5BoWHxUekxhXHFZ5ovdKdMpm1nXOfsF1ReE99ML59Z0V2d + fR/zYfNj9PrChvVm22fmLxHbfd9I3+N+9P/yPwRwgANe/5ZwRek4aASjYBPigLTgmJID + XYOmEFiEPOz5o4gW+GxBi9RERsB1mgkUAWWASkHdRG2jyehE9G14tZtiTmGmsZLYZOwA + XDeJx43hlfAl+B2qAKon1LrULTSi8PmDi1BKS6K9QKdA10PvQL/CkEHkJ95hdGf8yXSO + WQ9e26dYtVhX2crZrTgwHF3wutbkRnA/5MnmNScxkWbgmJQrEC7oKmQirCFCFiWLKYur + SmhIakppSLvLFMsOyyMVpBXtleKVz6g0k0dV19U59xhrJGm2adPqhOoO66sZ1BuxG+eb + 0pvlWrBYnrWWtum2M7JvcKR1inF+6rpnb90+RvdUjy1KpNc7nwjfbf+sQI6g2hD50Gvh + KhFtUQrR12Il4yoTWBKzkr7vDz8wnWJ16GHqniPn0zYy9I8WHFvOUs3OyZk7Qc7NzfuQ + 73Dq7hmlgtYicvGjUq+ynYqKStlzndX259/XZNfJXHx+Ke2KZMPY1fhmhpa6VqW2mx2a + 16/flLx1rlO8q787pJf2buN9iwdLfSn97APNg/pDkyORT/BjdeM6E3PPj0yKTPVOO76a + nfWZm1jQXCx/vbQksuz6Nnklb7X8XdH77A/Raw4fZdbR6yOfyjbcNrk2n22d/mz6+fOX + C9s229+/1n6z+PYJzjrUf0z/7Nv1f7Sfgvxu9AAQjS5cfpze2fkkDAA2H4AfJ3d2vlXt + 7PyohpMN+BvInZDf3yt2hTFwzb3syi66F1K5+/i3638Azn6KxwplbmRzdHJlYW0KZW5k + b2JqCjE3IDAgb2JqCjU5NjUKZW5kb2JqCjEzIDAgb2JqClsgL0lDQ0Jhc2VkIDE2IDAg + UiBdCmVuZG9iagoxOCAwIG9iago8PCAvTGVuZ3RoIDE5IDAgUiAvTiAxIC9BbHRlcm5h + dGUgL0RldmljZUdyYXkgL0ZpbHRlciAvRmxhdGVEZWNvZGUgPj4Kc3RyZWFtCngBhVJP + SBRRHP7NNhKEiEGFeIh3CgmVKaysoNp2dVmVbVuV0qIYZ9+6o7Mz05vZNcWTBF2iPHUP + omN07NChm5eiwKxL1yCpIAg8dej7zezqKIRveTvf+/39ft97RG2dpu87KUFUc0OVK6Wn + bk5Ni4MfKUUd1E5YphX46WJxjLHruZK/u9fWZ9LYst7HtXb79j21lWVgIeottrcQ+iGR + ZgAfmZ8oZYCzwB2Wr9g+ATxYDqwa8COiAw+auTDT0Zx0pbItkVPmoigqr2I7Sa77+bnG + vou1iYP+XI9m1o69s+qq0UzUtPdEobwPrkQZz19U9mw1FKcN45xIQxop8q7V3ytMxxGR + KxBKBlI1ZLmfak6ddeB1GLtdupPj+PYQpT7JYKiJtemymR2FfQB2KsvsEPAF6PGyYg/n + gXth/1tRw5PAJ2E/ZId51q0f9heuU+B7hD014M4UrsXx2oofXi0BQ/dUI2iMc03E09c5 + c6SI7zHUGZj3RjmmCzF3lqoTN4A7YR9ZqmYKsV37ruol7nsCd9PjO9GbOQtcoBxJcrEV + 2RTQPAlYFH2LsEkOPD7OHlXgd6iYwBy5idzNKPce1REbZ6NSgVZ6jVfGT+O58cX4ZWwY + z4B+rHbXe3z/6eMVdde2Pjz5jXrcOa69nRtVYVZxZQvd/8cyhI/ZJzmmwdOhWVhr2Hbk + D5rMTLAMKMR/BT6X+pITVdzV7u24RRLMUD4sbCW6S1RuKdTqPYNKrBwr2AB2cJLELFoc + uFNrujl4d9giem35TVey64b++vZ6+9ryHm3KqCkoE82zRGaUsVuj5N142/1mkRGfODq+ + 572KWsn+SUUQP4U5WiryFFX0VlDWxG9nDn4btn5cP6Xn9UH9PAk9rZ/Rr+ijEb4MdEnP + wnNRH6NJ8LBpIeISoIqDM9ROVGONA+Ip8fK0W2SR/Q9AGf1mCmVuZHN0cmVhbQplbmRv + YmoKMTkgMCBvYmoKNzA0CmVuZG9iagoxMSAwIG9iagpbIC9JQ0NCYXNlZCAxOCAwIFIg + XQplbmRvYmoKMjAgMCBvYmoKPDwgL0xlbmd0aCAyMSAwIFIgL04gMyAvQWx0ZXJuYXRl + IC9EZXZpY2VSR0IgL0ZpbHRlciAvRmxhdGVEZWNvZGUgPj4Kc3RyZWFtCngBhVTPaxNB + FP42bqnQIghaaw6yeJAiSVmraEXUNv0RYmsM2x+2RZBkM0nWbjbr7ia1pYjk4tEq3kXt + oQf/gB568GQvSoVaRSjeqyhioRct8c1uTLal6sDOfvPeN+99b3bfAA1y0jT1gATkDcdS + ohFpbHxCavyIAI6iCUE0JVXb7E4kBkGDc/l759h6D4FbVsN7+3eyd62a0raaB4T9QOBH + mtkqsO8XcQpZEgKIPN+hKcd0CN/j2PLsjzlOeXjBtQ8rPcRZInxANS3Of024U80l00CD + SDiU9XFSPpzXi5TXHQdpbmbGyBC9T5Cmu8zuq2KhnE72DpC9nfR+TrPePsIhwgsZrT9G + uI2e9YzVP+Jh4aTmxIY9HBg19PhgFbcaqfg1whRfEE0nolRx2S4N8Ziu/VbySoJwkDjK + ZGGAc1pIT9dMbvi6hwV9JtcTr+J3VlHheY8TZ97U3e9F2gKvMA4dDBoMmg1IUBBFBGGY + sFBAhjwaMTSycj8jqwYbk3sydSRqu3RiRLFBezbcPbdRpN08/igicZRDtQiS/EH+Kq/J + T+V5+ctcsNhW95Stm5q68uA7xeWZuRoe19PI43NNXnyV1HaTV0eWrHl6vJrsGj/sV5cx + 5oI1j8RzsPvxLV+VzJcpjBTF41Xz6kuEdVoxN9+fbH87PeIuzy611nOtiYs3VpuXZ/1q + SPvuqryT5lX5T1718fxnzcRj4ikxJnaK5yGJl8Uu8ZLYS6sL4mBtxwidlYYp0m2R+iTV + YGCavPUvXT9beL1Gfwz1UZQZzNJUifd/wipkNJ25Dm/6j9vH/Bfk94rnnygCL2zgyJm6 + bVNx7xChZaVuc64CF7/RffC2bmujfjj8BFg8qxatUjWfILwBHHaHeh7oKZjTlpbNOVKH + LJ+TuunKYlLMUNtDUlLXJddlSxazmVVi6XbYmdMdbhyhOUL3xKdKZZP6r/ERsP2wUvn5 + rFLZfk4a1oGX+m/AvP1FCmVuZHN0cmVhbQplbmRvYmoKMjEgMCBvYmoKNzM3CmVuZG9i + ago4IDAgb2JqClsgL0lDQ0Jhc2VkIDIwIDAgUiBdCmVuZG9iago0IDAgb2JqCjw8IC9U + eXBlIC9QYWdlcyAvTWVkaWFCb3ggWzAgMCA2MTIgNzkyXSAvQ291bnQgMSAvS2lkcyBb + IDMgMCBSIF0gPj4KZW5kb2JqCjIyIDAgb2JqCjw8IC9UeXBlIC9DYXRhbG9nIC9PdXRs + aW5lcyAyIDAgUiAvUGFnZXMgNCAwIFIgL1ZlcnNpb24gLzEuNCA+PgplbmRvYmoKMiAw + IG9iago8PCAvTGFzdCAyMyAwIFIgL0ZpcnN0IDI0IDAgUiA+PgplbmRvYmoKMjQgMCBv + YmoKPDwgL1BhcmVudCAyNSAwIFIgL0NvdW50IDAgL0Rlc3QgWyAzIDAgUiAvWFlaIDAg + NzgzIDAgXSAvVGl0bGUgKENhbnZhcyAxKQo+PgplbmRvYmoKMjUgMCBvYmoKPDwgPj4K + ZW5kb2JqCjIzIDAgb2JqCjw8IC9QYXJlbnQgMjUgMCBSIC9Db3VudCAwIC9EZXN0IFsg + MyAwIFIgL1hZWiAwIDc4MyAwIF0gL1RpdGxlIChDYW52YXMgMSkKPj4KZW5kb2JqCjI2 + IDAgb2JqCjw8IC9MZW5ndGggMjcgMCBSIC9MZW5ndGgxIDY2NjAgL0ZpbHRlciAvRmxh + dGVEZWNvZGUgPj4Kc3RyZWFtCngBvVl7eFPHlT9zH7rXb8lPybYsydeSZWxjy6/Y4MUX + I/mBwTGYh0RwkGyL2AaDS2wVSCAmhRIM8SYhcShJk5C0pEAo148QGRrqUNKSr5uUhIbd + tNltsyHpdr/6S7cLfHlgac+9sl3sr8nHH2zufGfmnDlnZs785sxc3VH3lh4vREEv0NCw + xtO1HpRH58biRy2dnq6QHPtbLF9o8XUbQzJrBaA3ru+6rzMk808ChOvv27htsn3cIwBs + b5vX0xrSw00sS9qwIiSTIiwz2jq7t4bk2BEsjRs3t0zq47pRju30bJ0cHz6U9Zs8nd6Q + va4cy4yuzffLdvjoeMyKurZ4J+2JE/37DRCs5WEzhMEG4IACNaYmAO6/wvXAoFbW49Oa + E3FwXUz5ddDI3QCsW/rPSvlL08+ufO69mRnxOP8FVoRN2culKiuQBRBJUD8e8fi0RmmH + Ge+Hxmw/1CJVIBUjZWcv1EIvOQqPIb2AREM72Q/bkPYh/QCJmeaOoTRK9g8xvHiGbINk + sliMYAwr4nUGbXiE4T0/UY08Z/hA+/FZosPV+4johqIgbGE4eYE8D61gID8GM9kONWAl + h4ezNhrcqDoGXUi9SLSSE3JsKK3AcI7kgJkh2MYCaQw5bfiTLdfwic1PkSHD+Uw/g8Ub + aSiJMYYx/XOGn+vvM5xDOhFSHc9Ci9OGY/qNhoNpfnJ4yPCE3k+wzeOhokePTU8bOrMG + DK02Rb9kwE+dGDKUoX6VGGEoKTUZivVXDXmZfp6gnKtfYphje9uQgQ3RzIidmkWNIVV/ + 0DAPVWl6R+Y8pLPkOHkG5pBnhsyLDWeQxekO12aVDvjJA8M1VpvZT7aLJTXWgayaTHPW + EoM5qyozE/lVF7nd3D3cQq6Ay+asnIUzcSlcPB/Lq/loPpIP53me85NXhioMqrPkBFQg + LCeGeRXP+slPsZI5S04qlSdf4xme4oGP9wf/iMFLIN5PToyoZQ6Z0yqFU/nJyeFQ1UnR + wMgcoyjUlMxjhjlQhKdgMUjkUb8K9iT6KrQVsQs0ZVX2r8vcimYqz/76R0v00kBdo1M6 + rndJBTIT1LumzLVTzNeW3T2o8lZmZ9ct3zbs6+pY7/AKDrfg8CK5pf2+Nq3U22w0DnZ0 + yQqjRFvczS1tcunxSl2C1y51CHbjoE9pN0u9Xlb7BPsgrHescA6uF732IZ/ocwgeu2u4 + uXJL04yx9k2PtaXyH4xVKXe2RR6rWWk3a6wmWd0sj9Ukj9Ukj9UsNitjyZN3tDdW3t+N + 0Wl0tNcZJWujVLtsjVMyelx2PzmKlfYeYMdAzb4OVrYXkpk8MAAEP0D6nVwGVgY/ZX8F + 6kBn8H/o+bioozJRgYpyGINH4Rk4BSr4CfJWuBcOwVukA/f2WhiBKyQN5uLZy4AflsC/ + kGDwXVgPP0L7bjgPT8EgRGKbTkhAbT8xB7ejLCLfDLuDL0IGlML34XUow177YTx4LDiM + 2uWwEo7DCWz/ayJQg0xc8KfBq3gKLsM+d6Pm3eCS4CmIhRyohAas3Q3niJn+XbANtDAf + vXsWnocj8Ab8hTxMRoJtQV/wUvAjDFUtpEIjph1khHxEn2K+H3w2+N/BACJhhTk4qhsO + wkvY/ylMY3i0OsgG0k0OkqcokXqYGmH2sEmBCcQhC6ox1eCp/AgiMAoX4G/wBfmM0tJq + upt+M1gc/F+IgDqcpTwTL/gw7cXUj3M6S1QknywiDWQHeZI8RS5Tc6iVlJP6LrWV+pSu + p9fS2+jLzP3MEHuAPaSKCFwPng3+Kvg+JIEe7oEtsBNndx4uwTX4ktDYVyoxk/mkktyL + qZc8Q42SI2SUaiBj5BJ1nPyBfEw+I19RLBVJJVDZVDd1kDpBnafeodvpp+gf0H+grzML + WIo9wn6iMnO/DzQH9gXeCc4PfhT8HI9YHky4MpVQD+vAg7PtgiJ4CGdxEtMpXLUL8Ca8 + paSPSSqMw+eIApBYkkwKyFJM9eRusp60k+fIGUznFF9uULgQVBiloZKoVKqRaqY6qV7q + faqXTqHn0IvpNfQpTBfpK/RX9FcMy8QxCUw1UwsHmE7mMKajzE+YIeY3bBm7gK1nV7G9 + 7D72AN3CvsteUe1U9auGVJ+p/orH4hJuM3cAV+ctjNk3MJb//jAkA70vgE3QQuykGQZw + NY4QD/RhdLWSRxCvLrAGm+iddDWVj9FwDh7AaD0MO2AfvRaOBP+NPg7/ipGyEbvshZeZ + StCzT+PqPAz5GEWTScyak2XNtJgzhHSTEY/81JRknTYpMSE+LlajjoqMCA/jORXL0BSB + HIdQ5TZKFrfEWISamlxZFjxY4bmlwo1b2ShVzbSRjHI7D6pmWIpouX6WpRiyFKctidpY + DuW5OUaHYJTetgtGP1mzzIn8o3bBZZTGFX6pwj+m8FHIm0zYwOjQttmNEnEbHVKVr63P + 4bbn5pBREeEIz82RDw4RIuSOJVjk2YEHLCySLRxSsmB3SDoBedTRZoenVWpY5nTYU0wm + F9Zh1XInjpGb0y6hn7A/slVo3e8Xodktc561Ton2uCTKLfelyZaSBLuUtP0T7d/FKc5x + 4BalRJmrPN6+Kkl070dwZdEtS54DKNU1GrFbao/LKZE9k07IPnagp7K7oXeC2d1hlMKE + SqGtr8ON4MJy51CymKwcvhI0OId0ok4RcnNGtTvnm3D2o7kLcxfK5XyTdmeo/NP3QvXv + jcmldueFP2JZt3waACIjINSin5KxRRlEQGdL5cxbCn0tpYgTPi6C02xHfxZJFMYMbZZY + c61H6m2ccqPNHnLO3WEfCtMlKy+hShfau/vU83Cl0F4tGPuu49vaLYz/ZWaNZ7JGZVZf + B1kpL/R0rEjEM8X75JelGWfdphXa5PX1KWuKsqB13FKBsgyN7LMUjy/wBqdJMrqwAn9N + 5tT5IazBOUhIv8tPgnv8YNeP4m9Uet29qM6RQ63djuOjkJuDFXNMyM3NMVbhyFVyrBj7 + jH21rX3GKmMbBhNjVkpUePtceYhgoxNxghU4ouhKmWa9Ltc87CdP7geboHmfC3vomOwB + S6UqbwKN8nPwZUpbGpzLnFKvPUUS7S5cBQzfsQanNIaR63KhlW3aU/R4R7t20ucC9Nk2 + B/WFoV7wt0svduHq65P7bHQKJmmsry+lT95vIdlPYHaFOFnhB9lEhtxPehuwLRaCKUVZ + A5NgQrdcMqZFGNJTEYW/2b8Z4ZJpv7HlXehtiYJw6R1CuOx2EJ53WwjPn/Z0BsLl6PN8 + GeF/+vYQXjAD4YpvRlic9hudXIjeigrClXcI4UW3g7D9thB2THs6A+Eq9NkhI1z97SFc + MwPh2m9GePG03+hkHXq7WEF4yR1CeOntIFx/WwjfPe3pDIQb0Oe7ZYSXfXsIL5+BcOM3 + I7xi2m90ciV6u0JBeNUdQnj17SDsvC2EXdOezkB4DfrskhG+ZxphMUWCW8/h3lnHLtzx + g3ntLZDjLyU2Fiqp47CSuR8uIIlINqQipN1IPjSZutuJxC+OJ1DOBbv8qa08FP46Z4Cd + lP6/C9WsAThFLsKvm62EIRIJUPXUGNZR+K0AzCX8xqTxrqoidH/E5+FLHolX+wEuIcky + 8vSHfmCQAHnuQziDLQBWZZ/BXlgs822FGpMmE6mS6fff/E/29S8X+ZmlX+FdBKKwkvwH + VUc9jeMYxXDIo0kyCzoGbzgqh01navBaoP6q+lPIWzpuy48zJZhWkhuBcOpp+ZuW4FcL + UO+zT+DXjTDIEz8pFCMZhotkuAEWwqvD1L4L2gvvT5RBRcW1t7F58QJyV6FG0Fz4xWFL + /xh9oy/OdfTLTfQNpS8R55vG/hDS4ahYX8JUMavZDfpNadvTdpO9FD+HX6PboHtQ92Dq + qzoW0kkMkxqtM3GpOryrYg0xMelx4cVxrNHQY0qPND3ElSZuTo/OjNllKE3PqBZkP347 + fm1cfX38KlSUT5RXjGtiy/Jik8oIlrFlZRrMoMmWv2ibmMroIs0aS0RstBXC4jkr0TFR + 6nAr4RMww1s7tZrIlwS7oKkktoKU3FVSXGQR0jkVJyBvKohNiOdUMUSFFQjW4j1vjO0q + Wj6wY7TawrxGV/YQ642Pt1W9uq+5tDWZjr6ZNUpiuzbXFTdu2HHwQN2es75LgRsvvbK9 + 2rukxLa647iCiy34AZPMHgYbXBANtZGNud6sltyerJ5c1YCF1PHZ4drs+Cj6C1t8cRR+ + eAhivKZY/VBUlC2lOIPlim1R2oFMu8aPN4Yx4aVzN1OGLOMuOpMqrC64BRXEBirGK8YR + lGsTn6rH1TI+MjYKJCV5+ToLhLEWvTndogLaCgzN5yMcqYLBCslmrRVDl0O48jBLM6Ug + ZhbMEKzsbBksdbnM7dqFmJEmhiouTExKLCwIAafiitNIYcEtMBbJMOLXHSKYRhLiQSCJ + n/ws0lr1Wv8rrx6JNcelWhK9C7cc8o44LOyQuIkk/P6v1TlV33ko8LfPM0nSxf0V3zm0 + 9UkfIc/TlLH0sQ3dWyu3v9B18Reju5cX6g2DvW8HAggr7owijLc89lnkomCtmB5GhfNR + hKLOxapUHKUiLMfjnQAXTvVEsJ/RkRxD+0nSq2Qgin8l3E+cw2xMdbSC4PVr5RMYVRVY + lGvKFNQQuLK9c7OZHeo3Y2z5RBNGNKZiUqgpTBA01I8DxeSdiQPUY4cuX8brhH0T3w2w + 5F6J7r+57oeBF2XfCN7CAD3OnodEaBRzVBzHJ3FJfCaTGdfD9fB8XBQVlwCg0au4hMjw + qKzwZC1JyIJEXZIWb4KHTc2V8q69Vr50orxefWMpLi0GfIXsm7yg0BRXqMFYLSzAb2jc + jEWZGL8q5HaPiIWrH/5zY+5omm1v1+kR9vzEh8tMZS+5nptYRr3ku8t5+MrExRB2vsBK + xow3CdG4W7vFnGP8y0mUlTemaqJV+gQuRhWtT41Ij6YytckZ4XPVc01Z6TE6IWOv6fWm + Kc+uXp10DT3D3Yj7L7T7ElOATbYwFkihLIRNxIzooi1AJ6ksGEqhrYdxlIHRI/vNqRLi + MZhIYYIyI7wQ4FRCeqYlUyNQv3zZXHXmrMOMeWDuqRLxngdOB17rPrxtef78kW2X3+td + O3i29fCDq4/Sg/211vLAnwMTgRcH1hWn1U78uxwfyhP04p3TP3rkvwbUEI93cYl4n1SH + N2HyQ/AmLfReUaEWGlcsra23Z9d4N/q83e0tHsVCMcTMioQxiG8igBVIrUjdSLj28GRw + 8kEepnmC762Zsm2WXDBLLpwly+Pd2l/bLLlLlv8PJwnrxwplbmRzdHJlYW0KZW5kb2Jq + CjI3IDAgb2JqCjM5NDIKZW5kb2JqCjI4IDAgb2JqCjw8IC9UeXBlIC9Gb250RGVzY3Jp + cHRvciAvQXNjZW50IDc3MCAvQ2FwSGVpZ2h0IDY4NCAvRGVzY2VudCAtMjMwIC9GbGFn + cyAzMgovRm9udEJCb3ggWy05NTEgLTQ4MSAxNDQ1IDExMjJdIC9Gb250TmFtZSAvU1RN + SU5EK0hlbHZldGljYSAvSXRhbGljQW5nbGUgMAovU3RlbVYgMCAvTWF4V2lkdGggMTUw + MCAvWEhlaWdodCA1MTMgL0ZvbnRGaWxlMiAyNiAwIFIgPj4KZW5kb2JqCjI5IDAgb2Jq + ClsgMzMzIDAgMCAwIDU1NiA1NTYgNTU2IDU1NiAwIDAgMCAwIDAgMCAwIDAgMCAwIDAg + MCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAKMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAw + IDAgMCAwIDAgMCAwIDAgMCAwIDAgMCAwIDAgMCA1NTYgMCAwIDAgMCAwIDAgMAo1NTYg + XQplbmRvYmoKMTIgMCBvYmoKPDwgL1R5cGUgL0ZvbnQgL1N1YnR5cGUgL1RydWVUeXBl + IC9CYXNlRm9udCAvU1RNSU5EK0hlbHZldGljYSAvRm9udERlc2NyaXB0b3IKMjggMCBS + IC9XaWR0aHMgMjkgMCBSIC9GaXJzdENoYXIgNDUgL0xhc3RDaGFyIDExMiAvRW5jb2Rp + bmcgL01hY1JvbWFuRW5jb2RpbmcKPj4KZW5kb2JqCjMwIDAgb2JqCihNYWMgT1MgWCAx + MC42LjggUXVhcnR6IFBERkNvbnRleHQpCmVuZG9iagozMSAwIG9iagooRDoyMDExMDky + MzE4MTMzMlowMCcwMCcpCmVuZG9iagoxIDAgb2JqCjw8IC9Qcm9kdWNlciAzMCAwIFIg + L0NyZWF0aW9uRGF0ZSAzMSAwIFIgL01vZERhdGUgMzEgMCBSID4+CmVuZG9iagp4cmVm + CjAgMzIKMDAwMDAwMDAwMCA2NTUzNSBmIAowMDAwMDE2MjQxIDAwMDAwIG4gCjAwMDAw + MTEyNjggMDAwMDAgbiAKMDAwMDAwMDUwMiAwMDAwMCBuIAowMDAwMDExMTA1IDAwMDAw + IG4gCjAwMDAwMDAwMjIgMDAwMDAgbiAKMDAwMDAwMDQ4MyAwMDAwMCBuIAowMDAwMDAw + NjA2IDAwMDAwIG4gCjAwMDAwMTEwNjkgMDAwMDAgbiAKMDAwMDAwMDc2NyAwMDAwMCBu + IAowMDAwMDAxMjM0IDAwMDAwIG4gCjAwMDAwMTAxNzIgMDAwMDAgbiAKMDAwMDAxNTk3 + MiAwMDAwMCBuIAowMDAwMDA5MzA3IDAwMDAwIG4gCjAwMDAwMDEyNTQgMDAwMDAgbiAK + MDAwMDAwMzE5NyAwMDAwMCBuIAowMDAwMDAzMjE4IDAwMDAwIG4gCjAwMDAwMDkyODYg + MDAwMDAgbiAKMDAwMDAwOTM0NCAwMDAwMCBuIAowMDAwMDEwMTUyIDAwMDAwIG4gCjAw + MDAwMTAyMDkgMDAwMDAgbiAKMDAwMDAxMTA0OSAwMDAwMCBuIAowMDAwMDExMTg4IDAw + MDAwIG4gCjAwMDAwMTE0MzEgMDAwMDAgbiAKMDAwMDAxMTMxNiAwMDAwMCBuIAowMDAw + MDExNDA5IDAwMDAwIG4gCjAwMDAwMTE1MjQgMDAwMDAgbiAKMDAwMDAxNTU1NiAwMDAw + MCBuIAowMDAwMDE1NTc3IDAwMDAwIG4gCjAwMDAwMTU4MDIgMDAwMDAgbiAKMDAwMDAx + NjE0NyAwMDAwMCBuIAowMDAwMDE2MTk5IDAwMDAwIG4gCnRyYWlsZXIKPDwgL1NpemUg + MzIgL1Jvb3QgMjIgMCBSIC9JbmZvIDEgMCBSIC9JRCBbIDxiYjNjYzg5M2NiNjRjZDNk + ZTkxNmUxMDQxODQ1MzIyNT4KPGJiM2NjODkzY2I2NGNkM2RlOTE2ZTEwNDE4NDUzMjI1 + PiBdID4+CnN0YXJ0eHJlZgoxNjMxNgolJUVPRgoxIDAgb2JqCjw8L0F1dGhvciAoU2hp + bmppIEtPTk8pL0NyZWF0aW9uRGF0ZSAoRDoyMDExMDkyMzE4MDIwMFopL0NyZWF0b3Ig + KE9tbmlHcmFmZmxlIFByb2Zlc3Npb25hbCA1LjMuMikvTW9kRGF0ZSAoRDoyMDExMDky + MzE4MTMwMFopL1Byb2R1Y2VyIDMwIDAgUiAvVGl0bGUgKHNjaGVkdWxhci5ncmFmZmxl + KT4+CmVuZG9iagp4cmVmCjEgMQowMDAwMDE3MTE0IDAwMDAwIG4gCnRyYWlsZXIKPDwv + SUQgWzxiYjNjYzg5M2NiNjRjZDNkZTkxNmUxMDQxODQ1MzIyNT4gPGJiM2NjODkzY2I2 + NGNkM2RlOTE2ZTEwNDE4NDUzMjI1Pl0gL0luZm8gMSAwIFIgL1ByZXYgMTYzMTYgL1Jv + b3QgMjIgMCBSIC9TaXplIDMyPj4Kc3RhcnR4cmVmCjE3MzAwCiUlRU9GCg== + + QuickLookThumbnail + + TU0AKgAAAoKAP+BP95QUAN+EACFQuGQ2HQ+IREAxMAQMACSMABuRsARMAxWBRGRSOSQs + PScAOiVAB9y2QP+SzGYgKaAAKzcAPWdAB7z0AP2gTKhSIB0UAAmkAAGUsAOmnS+h1GHR + 4AASrAAT1mXv9q10ABmwAAF2OIuCzAB8WkAUUBgAMW8AOa5VitSSnOmm08KXsABq/RF1 + YEAO3CAAG4cAPzFAAD42f0EOZGIz17xqOAXMAAUZuIvPPYPCvrRVAL6XQO0ACPVRF/a0 + ANPYYnFjPaSXAuoAOXdAAS7243PVCO10aSM/jcO2ivlciIt3nAB7dEATQBADlCsANntX + QTyVr98ACDxVtl+Xeb4IemIrn2UqmY0D4bEdTziWSuH8dntxgSAARP+iJhwEAB8wK8Lx + s8eYANafwAL2CgAA/CSIoKeQAGdDAAAVDYABtDyImZEIANEfSoAjE4AARFQAHPFoAB3G + CIxIABkRq2R+AAIUdJLARhwJAwJyClKVh7Ir3AYkphSUjqKBrJ0UxWiBPymAAcStIZ0A + AFktgAeEvPqkpkzEACTg8rZqTQADnG7EbRohGYDTiqSHPoqi/A03LdoZBiGzhOU5ogpc + kAlQgANvG9EIZPwDUAiCxgWAAHUkAB6UrBbXJ0esZNHONGUahVHuZQQAHdUq0LVN9OT/ + T6rAJRAgVgrbKAAclagBCqoU+kq2AAB9fABQgJVJUx42Kx5+10kqqUkBwANKC6eJ9Fpz + 2PXNkqmilRzvaqVSzSp6WumVRreDDmWmAEE2tcKFqpXlg2c0yBpghaLItdahKoql6pDe + 6ZXyil93nftlIoqiGYDgaRX+j+DpDe2EpHhYAICAAA4BAAADAAAAAQBOAAABAQADAAAA + AQAGAAABAgADAAAABAAAAzABAwADAAAAAQAFAAABBgADAAAAAQACAAABEQAEAAAAAQAA + AAgBEgADAAAAAQABAAABFQADAAAAAQAEAAABFgADAAAAAQAGAAABFwAEAAAAAQAAAnoB + HAADAAAAAQABAAABPQADAAAAAQACAAABUgADAAAAAQABAAABUwADAAAABAAAAzgAAAAA + AAgACAAIAAgAAQABAAEAAQ== + + ReadOnly + NO + RowAlign + 1 + RowSpacing + 36 + SheetTitle + Canvas 1 + SmartAlignmentGuidesActive + YES + SmartDistanceGuidesActive + YES + UniqueID + 1 + UseEntirePage + + VPages + 1 + WindowInfo + + CurrentSheet + 0 + ExpandedCanvases + + + name + Canvas 1 + + + Frame + {{635, 221}, {693, 937}} + ListView + + OutlineWidth + 142 + RightSidebar + + ShowRuler + + Sidebar + + SidebarWidth + 120 + VisibleRegion + {{0, 0}, {558, 783}} + Zoom + 1 + ZoomValues + + + Canvas 1 + 1 + 1 + + + + saveQuickLookFiles + YES + + diff -r 21684fe357d9 -r 4b0a368cc858 presentation/fig/single.jpg Binary file presentation/fig/single.jpg has changed