changeset 0:67e6ca3c7e6c

model checking
author Shinji KONO <kono@ie.u-ryukyu.ac.jp>
date Tue, 27 Apr 2021 14:25:56 +0900
parents
children 5fc28fa1b01b
files fig/CTL.drawio fig/Context_ref.pdf fig/Context_ref.xbb fig/dead.drawio fig/dpp_image.bb fig/dpp_image.pdf fig/dpp_image.svg fig/goto.drawio fig/graph.drawio fig/input-outputDataSegment.pdf fig/meta_gear.pdf fig/model_checking.emf fig/model_checking.jpg fig/model_checking.odg fig/model_checking.pdf fig/model_checking.svg fig/model_checkings_shirink.jpg fig/model_checkings_shirink.odg fig/u-ryukyu-Mark.eps images/CTLAF.pdf images/CTLEX.pdf images/DPP_image.pdf images/emblem.pdf images/graphAF.pdf images/graphAG.pdf images/graphEF.pdf images/graphEG.pdf images/model_checking.pdf images/u-ryukyu-Mark.pdf main1.pdf main1.tex model.ind src/PhilsImpl.cbc src/PhilsImpl2.cbc src/log.txt src/mcDPP.cbc src/mcDPP.h src/mcMeta.cbc src/meta.pm src/pickup_lfork.cbc src/pickup_rfork.cbc src/putdown_lfork.cbc src/putdown_lforkImpl.cbc
diffstat 43 files changed, 4220 insertions(+), 0 deletions(-) [+]
line wrap: on
line diff
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/fig/CTL.drawio	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,1 @@
+<mxfile host="app.diagrams.net" modified="2021-02-07T03:29:18.295Z" agent="5.0 (Macintosh; Intel Mac OS X 10_15_7) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/88.0.4324.150 Safari/537.36" etag="N0gQhX4Zar7-LOUX-JVm" version="14.2.9" type="device"><diagram id="-EgOz1M69M5N44z6fKTp" name="Page-1">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</diagram></mxfile>
\ No newline at end of file
Binary file fig/Context_ref.pdf has changed
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/fig/Context_ref.xbb	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,8 @@
+%%Title: fig/Context_ref.pdf
+%%Creator: extractbb 20160307
+%%BoundingBox: 0 0 766 305
+%%HiResBoundingBox: 0.000000 0.000000 766.000000 305.000000
+%%PDFVersion: 1.3
+%%Pages: 1
+%%CreationDate: Mon Feb  4 17:33:10 2019
+
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/fig/dead.drawio	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,1 @@
+<mxfile host="app.diagrams.net" modified="2021-02-07T05:21:10.965Z" agent="5.0 (Macintosh; Intel Mac OS X 10_15_7) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/88.0.4324.150 Safari/537.36" etag="EtbaHxor8kvcsEH4oA_p" version="14.2.9" type="device"><diagram id="ReT4g05waKvguy74ENKz" name="Page-1">3Zhdk5sgFIZ/TS7bEUiMucym2fZiO81MLtpbomeVGRSLJ1/99cWKGjQ7+9FssuNNBl4OB3leBOKILdLDV83z5LuKQI6oFx1G7MuIUp965rcUjlbwrBBrEVUSaYW1+ANWrMO2IoLCCUSlJIrcFUOVZRCio3Gt1d4Ne1TSHTXnMfSEdchlX/0pIkwqNaDTVv8GIk7qkYk/q1pSXgfbmRQJj9T+RGLLEVtopbAqpYcFyJJdzaXqd/9Ea/NgGjJ8SYcdDwX/8bD8HWSrX7m/8QjBTzbLjsutnbB9WDzWBEwWA9tU7vaJQFjnPCxb9sZuoyWYSlMjpsiLvHLgURzADHpnc4NGODz50KRBYZYQqBRQH02I7dDQs8uH+La+b80gdUxyakQtcrsA4iZ3y8gULKZXIPN7yFaJkIXKE9A9eMb0vCxuUzkPUWlDpeQhzAJ74BuQK1UIFCozIRuFqNKTgLkUcdmAqgNabVGKDBbNkvcuA5tMnofNzrD23wt1vQkMkDX1XNY0uDXr/lYwGNb+xGF9c9R0sKhZwD67sG+/ibDh0mYfbROZvOA+kUXz8mJmaqHkRSFCF1bVAaLevexZKieznpyZda1pkBzFzk1/DoUdYaWEGbg9JYPOKRl0aBZqq0OwvU4vZJ1E3SOATDuJkOsYsJfonzPNtP/DrP5NZnBm0VlnN/LeaBYjbqLZlb2aDt+rMRlf5sUas06ia79YwfDNop2jh731xaKdPx1Xf7NmwzeL+RcyiwXvZZaptp9CqvD2exJb/gU=</diagram></mxfile>
\ No newline at end of file
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/fig/dpp_image.bb	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,5 @@
+%%Title: ./dpp_image.pdf
+%%Creator: ebb Version 0.5.2
+%%BoundingBox: 0 0 626 475
+%%CreationDate: Tue Feb 12 04:12:11 2008
+
Binary file fig/dpp_image.pdf has changed
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/fig/dpp_image.svg	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,2982 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
+<svg version="1.1" id="Layer_1" xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" x="0px" y="0px" width="1304px" height="990px" viewBox="0 0 1304 990" enable-background="new 0 0 1304 990" xml:space="preserve">  <image id="image0" width="1304" height="990" x="0" y="0"
+    xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAABRgAAAPeCAIAAADoG+HZAAAJJmlDQ1BpY2MAAEiJlZVnUJNZF8fv
+8zzphUASQodQQ5EqJYCUEFoo0quoQOidUEVsiLgCK4qINEWQRQEXXJUia0UUC4uCAhZ0gywCyrpx
+FVFBWXDfGZ33HT+8/5l7z2/+c+bec8/5cAEgiINlwct7YlK6wNvJjhkYFMwE3yiMn5bC8fR0A9/V
+uxEArcR7ut/P+a4IEZFp/OW4uLxy+SmCdACg7GXWzEpPWeGjy0wPj//CZ1dYsFzgMt9Y4eh/eexL
+zr8s+pLj681dfhUKABwp+hsO/4b/c++KVDiC9NioyGymT3JUelaYIJKZttIJHpfL9BQkR8UmRH5T
+8P+V/B2lR2anr0RucsomQWx0TDrzfw41MjA0BF9n8cbrS48hRv9/z2dFX73kegDYcwAg+7564ZUA
+dO4CQPrRV09tua+UfAA67vAzBJn/eqiVDQ0IgALoQAYoAlWgCXSBETADlsAWOAAX4AF8QRDYAPgg
+BiQCAcgCuWAHKABFYB84CKpALWgATaAVnAad4Dy4Aq6D2+AuGAaPgRBMgpdABN6BBQiCsBAZokEy
+kBKkDulARhAbsoYcIDfIGwqCQqFoKAnKgHKhnVARVApVQXVQE/QLdA66At2EBqGH0Dg0A/0NfYQR
+mATTYQVYA9aH2TAHdoV94fVwNJwK58D58F64Aq6HT8Id8BX4NjwMC+GX8BwCECLCQJQRXYSNcBEP
+JBiJQgTIVqQQKUfqkVakG+lD7iFCZBb5gMKgaCgmShdliXJG+aH4qFTUVlQxqgp1AtWB6kXdQ42j
+RKjPaDJaHq2DtkDz0IHoaHQWugBdjm5Et6OvoYfRk+h3GAyGgWFhzDDOmCBMHGYzphhzGNOGuYwZ
+xExg5rBYrAxWB2uF9cCGYdOxBdhK7EnsJewQdhL7HkfEKeGMcI64YFwSLg9XjmvGXcQN4aZwC3hx
+vDreAu+Bj8BvwpfgG/Dd+Dv4SfwCQYLAIlgRfAlxhB2ECkIr4RphjPCGSCSqEM2JXsRY4nZiBfEU
+8QZxnPiBRCVpk7ikEFIGaS/pOOky6SHpDZlM1iDbkoPJ6eS95CbyVfJT8nsxmpieGE8sQmybWLVY
+h9iQ2CsKnqJO4VA2UHIo5ZQzlDuUWXG8uIY4VzxMfKt4tfg58VHxOQmahKGEh0SiRLFEs8RNiWkq
+lqpBdaBGUPOpx6hXqRM0hKZK49L4tJ20Bto12iQdQ2fRefQ4ehH9Z/oAXSRJlTSW9JfMlqyWvCAp
+ZCAMDQaPkcAoYZxmjDA+SilIcaQipfZItUoNSc1Ly0nbSkdKF0q3SQ9Lf5RhyjjIxMvsl+mUeSKL
+ktWW9ZLNkj0ie012Vo4uZynHlyuUOy33SB6W15b3lt8sf0y+X35OQVHBSSFFoVLhqsKsIkPRVjFO
+sUzxouKMEk3JWilWqUzpktILpiSTw0xgVjB7mSJleWVn5QzlOuUB5QUVloqfSp5Km8oTVYIqWzVK
+tUy1R1WkpqTmrpar1qL2SB2vzlaPUT+k3qc+r8HSCNDYrdGpMc2SZvFYOawW1pgmWdNGM1WzXvO+
+FkaLrRWvdVjrrjasbaIdo12tfUcH1jHVidU5rDO4Cr3KfFXSqvpVo7okXY5upm6L7rgeQ89NL0+v
+U++Vvpp+sP5+/T79zwYmBgkGDQaPDamGLoZ5ht2GfxtpG/GNqo3uryavdly9bXXX6tfGOsaRxkeM
+H5jQTNxNdpv0mHwyNTMVmLaazpipmYWa1ZiNsulsT3Yx+4Y52tzOfJv5efMPFqYW6RanLf6y1LWM
+t2y2nF7DWhO5pmHNhJWKVZhVnZXQmmkdan3UWmijbBNmU2/zzFbVNsK20XaKo8WJ45zkvLIzsBPY
+tdvNcy24W7iX7RF7J/tC+wEHqoOfQ5XDU0cVx2jHFkeRk4nTZqfLzmhnV+f9zqM8BR6f18QTuZi5
+bHHpdSW5+rhWuT5z03YTuHW7w+4u7gfcx9aqr01a2+kBPHgeBzyeeLI8Uz1/9cJ4eXpVez33NvTO
+9e7zofls9Gn2eedr51vi+9hP0y/Dr8ef4h/i3+Q/H2AfUBogDNQP3BJ4O0g2KDaoKxgb7B/cGDy3
+zmHdwXWTISYhBSEj61nrs9ff3CC7IWHDhY2UjWEbz4SiQwNCm0MXwzzC6sPmwnnhNeEiPpd/iP8y
+wjaiLGIm0iqyNHIqyiqqNGo62ir6QPRMjE1MecxsLDe2KvZ1nHNcbdx8vEf88filhICEtkRcYmji
+uSRqUnxSb7JicnbyYIpOSkGKMNUi9WCqSOAqaEyD0tandaXTlz/F/gzNjF0Z45nWmdWZ77P8s85k
+S2QnZfdv0t60Z9NUjmPOT5tRm/mbe3KVc3fkjm/hbKnbCm0N39qzTXVb/rbJ7U7bT+wg7Ijf8Vue
+QV5p3tudATu78xXyt+dP7HLa1VIgViAoGN1tubv2B9QPsT8M7Fm9p3LP58KIwltFBkXlRYvF/OJb
+Pxr+WPHj0t6ovQMlpiVH9mH2Je0b2W+z/0SpRGlO6cQB9wMdZcyywrK3BzcevFluXF57iHAo45Cw
+wq2iq1Ktcl/lYlVM1XC1XXVbjXzNnpr5wxGHh47YHmmtVagtqv14NPbogzqnuo56jfryY5hjmcee
+N/g39P3E/qmpUbaxqPHT8aTjwhPeJ3qbzJqamuWbS1rgloyWmZMhJ+/+bP9zV6tua10bo63oFDiV
+cerFL6G/jJx2Pd1zhn2m9az62Zp2WnthB9SxqUPUGdMp7ArqGjzncq6n27K7/Ve9X4+fVz5ffUHy
+QslFwsX8i0uXci7NXU65PHsl+spEz8aex1cDr97v9eoduOZ67cZ1x+tX+zh9l25Y3Th/0+LmuVvs
+W523TW939Jv0t/9m8lv7gOlAxx2zO113ze92D64ZvDhkM3Tlnv296/d5928Prx0eHPEbeTAaMip8
+EPFg+mHCw9ePMh8tPN4+hh4rfCL+pPyp/NP637V+bxOaCi+M24/3P/N59niCP/Hyj7Q/Fifzn5Of
+l08pTTVNG02fn3Gcufti3YvJlykvF2YL/pT4s+aV5quzf9n+1S8KFE2+Frxe+rv4jcyb42+N3/bM
+ec49fZf4bmG+8L3M+xMf2B/6PgZ8nFrIWsQuVnzS+tT92fXz2FLi0tI/QiyQvpNzTVQAAAAgY0hS
+TQAAeiYAAICEAAD6AAAAgOgAAHUwAADqYAAAOpgAABdwnLpRPAAAAAZiS0dEAP8A/wD/oL2nkwAA
+AAlwSFlzAAAAlgAAAJYAcUb+8AAAAAd0SU1FB+QFGwo6Nul9YuwAAIAASURBVHja7N17YFTVuTf+
+Z+89k4T7DN4AucRELV4TDCoKOhwIXgtp6Qv2gqbHnkP61hbe0tMm1Ta2tLXgaekPbG3JsdSAWgXl
+GLUqJSARqbUlmih4JwS1IgpkwjXJ7L3W74+195q190wmk5BkJsn3g00nk5nJnglM5jvPWs+jcc4J
+AAAAAAAAAJKjp/oAAAAAAAAAAPoSBGkAAAAAAACATkCQBgAAAAAAAOgEBGkAAAAAAACATkCQBgAA
+AAAAAOgEBGkAAAAAAACATkCQBgAAAAAAAOgEBGkAAAAAAACATkCQBgAAAAAAAOgEBGkAAAAAAACA
+TkCQBgAAAAAAAOgEBGkAAAAAAACATkCQBgAAAAAAAOgEBGkAAAAAAACATkCQBgAAAAAAAOgEBGkA
+AAAAAACATkCQBgAAAAAAAOgEBGkAAAAAAACATkCQBgAAAAAAAOgEBGkAAAAAAACATkCQBgAAAAAA
+AOgEBGkAAAAAAACATkCQBgAAAAAAAOgEBGkAAAAAAACATkCQBgAAAAAAAOgEBGkAAAAAAACATkCQ
+BgAAAAAAAOgEBGkAAAAAAACATvCl+gAAAAC6iHMe93SnaJoW9zQAAABAe7Quv/IAAADoZfJ3Vnu/
+vDqM1snEZnk+cjUAAADEhSANAABpTfyeiv1tFXu+fQ65L6l+pqknNYqXq2PDM3I1AAAAeCBIAwBA
+OoqbnznnalGaK6lZvXyHv9rUzByNxxpppKmxub1QjUQNAAAwwCFIAwBAuoi7ctuTkGV45g4SVWje
+7i14eCvMWrQ6HQ3PSqiOW5FGogYAABjIEKQBACAtMMbk6WhC5pyIGGdqeCYlRYsIrV7YtMyWky2m
+aZqmGYlELMuyLMswDMMw/H6/z+fz+XxZWVk+n49iStNqlo57JsVUquMWrgEAAKB/Q9duAABImQQ7
+n9VqM2fRT0Wotk8zxjlvi7R99ulnH3/88ccff7x///5//etfR48ebWlpaW1tbWlpaW1rjbRF/Bn+
+zIzMrKyszMzMrKysYcOGnX322aNHjx4zZsyYMWPOOPOMDH+Gpmm6rpO7NG2f0jWNa0Sk67o8Zpmf
+Y88BAACA/g1BGgAAUsAJn9EoLbMxOUu4ZX5WczVj7Pjx4+Hm8OFDh3ft2rVz586//e1vTeFwa0tL
+JBJpbWu1TCsjI8Pn8xmGoTuYw7Is0zTb2toMn5GZken3+zOzsoKBwNVXXz158uSLL7545GkjAyMC
+gwcPNgxDLvDWmB2oOeeapkXPd5WmSdwXxGkAAIB+D0u7AQCgt3l2MjPOiETp2YUxJmrPnDPOuGVZ
++z7YV7uztra29vXXX3/jjTeOHj1KRIZhjBgxYsyYMWeeeeZpp5122mmnDR06NCMjIyMjw+/3+zP8
+PsNnWmakLSK0trYeO3bs0KFDhw4d+vTTT/fv3x8Ohy3LIqJhw4Zdcskll156aUFBQUFBQXZ2tq7r
+mq5pmq5rdkVacxOdwHXNLmWL+4UsDQAA0L8hSAMAQO/xdNX2rtN2qtBMFqMZb2lpCYfDr9W9tun5
+TX//+98/+uij1tbWwYMHDx48+Nxzz73gggvOP//8EYERGX47Ofv8Po0SBVpR7jYjpsjVbW1tzc3N
+77zzzltvvbVnz57jx4+fOHEiMzNz7NixU6ZMuf6G6ydNmhQYEcjKytJ0Tdd00khka03XNIquBhfn
+e74vEjUAAEC/hCANAAC9wVOF5pyTXW3mjDEizpirFs04b2tt3b1791//+tennnrqrbfe4pyPGDHi
+wgsvvOiii84999yxY8cOGzYsbvfs5OOrpz340aNHP/rXR++/9/6uXbvefPPN5uZmTdMuuOCCOXPm
+XHfddRdddFFGZqYoScvStK5rRPJT8c3jT6gGAACAfgNBGgAAelzcEVZiOzRzys+icxjjjFmspaXl
+rbfe2rBhw9atW/fs2eP3+8eNG3fVVVfl5eWdccYZw4cPj+2kLb9Xp1Jr7JwtImKMHTly5LPPPqur
+r3v5by9/+OGHkUgkNzd3xowZ8+bNu+CCCzKzMg3DcNZ8y0StE5Gu67GjsxCkAQAA+hkEaQAA6EGe
+CE0UbyO0k58ZZydPnPzHP/6xcePG//3f/z1+/PjIkSMvv/zyq6defcHECzIyMuKEZyW1RmlEPFF8
+5ZyLy3jOlPOoyUn4kUjk7bff3rFjxz/+8Y/Dhw8PGTLki1/84ty5c6+44opBgwfpmq4buidRxzb9
+dh0wAAAA9H0I0gAA0FNkLpW9uImIcyc6O38YY5xx0zQbGxv//Oif//zInz/55JNhw4ZNnz79qquu
+OueccwYPHkwx1V1vsVf00nZ0mFpdtWglP8dbgk4nT55s2Nvw8t9efuGFF44ePTpq1KivfPUrX/3K
+VydMmODz+TRd00VTsugfTdO8k7RIBn8AAADo4xCkAQCgRySoQos6tKhFW8wyI+Znn33217/+deXK
+lR9++OGIESOuvfba66677swzz/T7/STWSztEiy9SKtLkpFP1nKSP0vkgytHyHGWZt3NBHolEPvv0
+s+eff/7FF19sbm4eN27c4sWLr7vuujPOOMPv98uKtGHozuxpb3WaUJcGAADoFxCkAQCgR0QjqHsV
+t91LTBns/Le//e0Pf/jDCy+8YFnW5MmTZ8+eff7556sLuRPUol1ndr7kK8OzpxBN8RalixNtbW3v
+vvvuU0899c9//tMwjH/7t3/75je/efXUqw09OrZarvSObpz2VKcBAACgL0OQBgCA7hQbRNX8LErQ
+Yig04+zwocNVVVX33Xffxx9/PH78+C996Ut5eXmiF3d7tVw1MMdt1t2FZmOeX4X2maJQzbjrHGfY
+9dGjR+vr6x9//PF9H3wwZvTob3/n218o+sLI00bqui4TtWfjdHRQlvuAAQAAoM9BkAYAgO4kciZF
+C9GMc1IjNGPMYpZlWnv27Pntb3+7YcMGwzBuvPHGG2+88cwzz4zt1yU3P3uCqJqrvVXorjUbU5Iz
+uTO2vcdbFrAdBz498Pxzz//lL3+xLGv+/Pl33HFHbm6u4TPiVqejd0pzr0UHAACAvgZBGgAAukfc
+TdF2U26B203FWltbt2/fvmrVqn/84x8jRowoLi6+4oorREcxIrKLtzFDpFw7pdVitdpuTLlAMkcb
+/dRJ1TJIy6Xp5A7S6vguzvnJkyf/8Y9/PPjgg83NzVdcccWiRYuuueaazMxMuwmZFj9OU8w7AgAA
+ANCHIEgDAED3kOFZnmZ2TzG5H5oxxo4dO/b000/fddddx44du/rqq7/0pS+dc8453pqte0exK0jL
++nNMm7GuRVP1mEnZNU2xXceVpd1qNzLG2N69ex9//PEdO3YMHTr0F/fcM/vznx86dKiu60plWrd7
+ezt3Sr4vgCANAADQ5xg/+clPUn0MAADQt8V25JIp2h5vZTHGmGlZx44d+/Of//zLX/7yZEvL52++
++ctf/vLZY882dENd89wuXbNbdmvO+GhyfzVeDm+PPPjYtdZ2JVx8Cz0azuMmXk5c07VgIPi5iZ/T
+SHv7nXf+tmPHsGHDzj//fJ/frzRAc062k/kRpwEAAPoQVKQBAOBUOSVau2DLnEHRIj/bm6It69DB
+Q3/84x9XrVqVmZn51a9+dcaMGWI5t7r5WSZqXYsWb11BVyMi+6vkyaXKGm9OPEH7bvFVZXi0fS9I
+eTvAYpZ9UXelOmaUl1OBJ37yxMktW7Y8/PDDra2tixYt+sY3vnHa6acZumEYdmHaMAx1jbe4m/Yx
+I0gDAAD0HahIAwBA17nCJ3f36LaiA65Myzx08NB99933wAMPiBR93XXXZWVliRtRdzvb+Zm81ePo
+QKl2ArZ9LZL1ZbtwHfc/+UeWtaN3SUnj8ltzivOms/iq+JI4bRjGOeecM2zYsLfeeuuVV15pbW29
+5OJLsrKyXAeslKBl1O9Cv3EAAABIIVSkAQCg69ptLcYZs5w/lhUOh5cvX75u3bpgMHj7N26fXDA5
+MzOT3D3D4u6RJtl7TKZNudbatWCaOhtKvcvRlZJz9IRn/BXn9mZp91ZwIrvxmDgnEons3LnzgQce
+OHz48K233lpaWhoIBAzD0JU/cVp5I0gDAAD0HahIAwBAF0VjJGeyi3W0s5hliVp0c3PzmjVr/ud/
+/mfYsGHf/OY3r7jiCsNnRBtuKdVnuTvZs7pb06OZWdd0jaJ1aefr7rbYeqJytF2Ujhdi1X3a5CwR
+J1Lq2DGDoDnx6BJyjTTSdF0/e+zZY0aPef3112trazMzMy+44AJ/hr1f2rPg3BOekaUBAAD6BARp
+AADoCnVBk9wjzdQW3ZZlWdbJEycfffTR3/zmN5mZmd/4j29cfsXlPsMnc6/IrDIA65qua2p8dg2O
+Eh/t1dHiilq8Qc26N2YnbjkWc5YrV9uJ2z2IyxbT8EyerZF21llnnXHGGa+//vorr7wSDAYvuOAC
+n8+n3oLa5Cx21hcAAACkMwRpAADoNO+YK84442o52jRN0zRPnjz5zDPP3H333Yyx22+//dprrzV8
+RnSTs0bqRCg1J+u6KwurOTl6lXbCsrymZ+10gqXj3sXVWsyZmruS7InNmsY5V3M4Eem6Pnbs2BEj
+Rvzzn/986aWXJkyYcM455+iGHmdel9ZBmRoAAADSjS/VBwAAAH1MbC1atBmzmCVmXZmmaVmWaZp/
+//vff/3rXzPO5s+bP23aNNGzOhpQ3cVeEvlU93Yak19Vd0qrg7LkdT0nosQZSksQeRl1ZJc4M/oe
+AXH5TRln8oqyVbdOOte42ESt67p4HNREbBjGNddec/jw4fUbNvz6178+/fTTp10zTX0fgRgZuqFx
+jYsV4spRIUsDAACkM1SkAQCg09QOW6IQbVmWaDAmU3RjY2NZWdmePXvmzp1bNKdo0KBBrrqxbheW
+icizMDt2qbWmu0rNrrp0gkXaMWVqaqermSeWy7qy5t4+HRtuPek9GoCdC/oMX25uLuf85Zdffvvt
+t6dNmzZixIjoVTQS+Tm2UxqCNAAAQDrTU30AAADQl6glXHIaWdttupXd0UePHn3ggQd27dp14UUX
+3XzTzYMGDSItTtAVcdr+ku5sb9bdcZqcvdNKho57O+oVdZWy2Dt6nnOO+Jbe2yTX8nLXAcjAr0eP
+R3Qji3435b4OHjz45ptvvvjii3ft2vXAAw8cPXrUsqzogyUfP08XcczUAAAASGOoSAMAQLK8W6Nd
+vcWYKERblnXy5Mn169dXVFScddZZCxcuHDt2rBZvxzIReaKviK+xrcLkpmjRsjt+zdm91zrJdmPk
+Lv96StDk3krteizkxmaxJJsrM6I9EVijrKyss88++6233qqtrR05cuTEiRMNw+DEo1Os5fBqNPEG
+AADoC1CRBgCATuMKFhOoX3/99d///vemaf6f//N/cnNzNWcQtFwvLROvuDV73bU72aqzrGTM1mIa
+dHuqxJ4G4An+UyvS3lKzp0+4Z/G51k5JXLPvTtysfu555/6fef/HNM3f//73r7/+usUs9YHjTH1E
+UYsGAABIdwjSAACQFE85WhaliZNlz7qyTNMMN4V/97vf7d+/f+bMmVOnTvX5fN710q6UaS+rttdE
+67qmO8Oi5UpszRtidV3XDV2uA9cN1xJudeV2bMD23I7zRe9tynPjfGvnWkTkuh376GNSNGlE5Pf5
+p02dVlhYuH///t/97nfhpnAkErEfNWbZ5X0lT6sPOAAAAKQbBGkAAOgETyHaToAWsxgzLbOtre3Z
+Z5/928t/y83NLSoqysjIUMc+uxO0valYPUvGWrV27V2z7UrHTnlZ10hL1Gws8VftmxPFal337IiO
+uyjde/DuC8e9v5mZmUVfKMo9N/dvL//t2WefbWtrMy3TYoxZ9iNpjxEj1KUBAADSHYI0AAB0LE45
+mtnNusW+aMs0zYjZuK/xscce44zfcMMNp59xutZ+u2w5Trn9VOtEViKiaDeyuME1moH1OH3F1K/G
+NhuTtxA3M3sOQx6Jenlyr/0mZ7KX+l3EFc8686ybbryJM/7YY4817ms0IyZzKvmi7TlnXJalCUVp
+AACAdIUgDQAAHYu7qNvTsrutrW39Y+tfe+21vLy8qVOnyqnR5ARLu6WWU62VGZs0bwGXNHLVhA0R
+Z514LXO2U/u1j1Kj2FKwt0d3O8VqopjKudNFXC78VjdgR++afFvA+VReTFbjyVngbRjG1KlT8/Pz
+X3vttfWPrW9ra7PHhrFoed+zWRpBGgAAIA0hSAMAQAfUmUxi4THj0blNlmVZpmWa5ptvvvn4449n
+ZmbOnj178ODBMvSqiVhtT22PntJ1TURgpQIsdyDHln+jOVbJ1E7UNQxnw7TWET0akJ2r6Jp6zJ6e
+ZGqwJ8/RioKzqEvrmuaUqZ2LKV3TdG3IkCFFRUWZmZmPP/74m2++aZqmZVr2QCxLmYZFnGMUFgAA
+QLpCkAYAgA64lhlzYswSK5BFimaMmaZ59NjRxx9/vLm5+cYbbzz//PNlaZcoWpW18yl54ygpc57U
+krAsZIu0Gv98JUvHDczqhOdEO6WVKreYShVb35YVZs9CbnIKzvIs5XuTrkVvSlzmvPPOu+mmm5qb
+mx9//PGjx47aa+MtS203RpxQlAYAAEhbCNIAAJCIqxxtN5fmjIv+Yk5F2rLefefd7du3B4PBq6++
+Oisry+4ZJvIjuWrIai1X5FXDMGTFV7YZc9p4K1eVzbRdS65jOns7FzMEpUxtyPPs0rErR4ureHZW
+uy4TbS7ubPx2IrO4WTlcOhrs1eI22Xdv0KBBU6dODQaD27dvf/edd+1ytDM8TFb71Q3SyNIAAABp
+BUEaAAAS8eyOZs6cJhmhTctsaWn561//+uGHHxYUFOTk5hC5dh2TUnmWZ9pFZaeWS2LkVbyicrRd
+mbqXWpmkRZ6mZc7F2qs/RwvaMaOqyLvJWYsep1IDJ2VrtIjK9nUpekn1nOhGbudEbm7u5MmTP/zw
+w7/+9a8tLS3eorTddgw7pQEAANIUgjQAALQrthzNmVjdrWRp0/r444+feOIJv98/a9asDH+GmlfV
+vlzkbnkty7xqxrVnWcVr6B09S8mupE7JUuY/i/qzpnm7dWuaZkQHT0evIm42es9lGT3Bbmtn7pbM
+z7Hzq113XHk0MjIyZl03y+/3P/HEEx9//LHdtdt5VOU7FihKAwAApCcEaQAA6IDSRZrLHt0Wsyxm
+j2567rnnmpqaLr/88nHjxkVrtrKWK9MlyYQdbZFNanXXvV7aU/51Lwy323xF9zUTycXY0WHOsTHY
+mTgdXSHuXRYenYbVAWUrtMzMnguQWkjXo7vBNU2bMGHCFVde0dTU9Nxzz9kNxyzLXjDPo/3GMAEL
+AAAgDSFIAwBAfHFnR3PilmlZzLJMS6S/Tz75pKamZtCgQTNmzMjKyrKvLIu7GkVXcusxCTO2xqvO
+eXZ6Ykdzr7PvmjTNmUgVLUCrNXDXJmflP3WTs71oXCP1fENWqu1WYdH92GqdOVp/ls3DvSnb1Zlc
+Pg7kZOnMzMyZM2YOGjSopqbmwCcHLGehPGPMMi1OXFncjZnSAAAA6cWX6gMAAIC0pgY5e0ST81FE
+v7fffnvPnj05OTkTJkxQy8hOp25nh7Pm2pMcbestu4upI6/cJV/1U3FU9kRqZcOzOliLlDbgcShf
+4epnGhG377JGGidu3wgn0ohz16fRK3FN0zTGmbgFcRz2hTX7psQti/soL6lr+oQJE3Jzc/fs2fP2
+22+PGjXKYpbOdMuyiIhZTOwDlz+CRPcIAAAAehcq0gAAEF+0EEpcDjeWPaXF0u4TJ0+88o9Xjh49
+etFFF512+mnkhFvv1GVybz92F6GjX4m7gNrdq0wtQtu1aWfFeHQctJw4HY/6pegIaS069cr1Ldo/
+sJhF3BQtcWvKCnbnTntuhzQ644wzLr744qNHj77yj1dOnjzpWtrNmfqwo+UYAABAWkGQBgCAOFyZ
+zdmtyywmJl/ZRWmLHWk+8mLNixkZGfmT8kVzL3klGSe9tWJlXbfa09tToPYUrjUtzqRotW2ZXMsd
+XdqtR0+oKdq1TtuzKjte63A9doS1UnVXb0Sc42ozrrwF4BpMLdaN63r+pPyMjIztL25vbm6WOVo+
+znKmdPyfCwAAAKQIgjQAALSLc3V/NGOcyaHHony6a9euhoaGsWPHnpt7rrhKbK3W3h8tO2M7m4dJ
+bqCOWb8dW5Qmcu+ydufpBHXs+AXkuF9t/8ZjA3/co43OkY6GZYrmcIquTpdXOTf33HHjxjU0NOze
+vZtZrofXrktz105pAAAASAcI0gAA0K7o1CvRaYyTSNSyM9aOHTs451dffbXf749mVGd4sqzBilvz
+hEn7TFLCqqas4nY6h3nmY2ly0pVTCnY6hXlLzeq3jn67mCq3Uq2O3m50gbemk1JIdi3e1tyH7fQn
+i71rMjyrD4i4cEZGxtSpUxljO3bssBxOfibx/545WAAAAJByaDYGAABxeGKbyHIiQIsRx4yxY8eO
+7d692+/3X3DBBdGJU5447en7pYy8Usu83su78683i4oxz3KPtKd27e415r0tIrFU2r5/selUI41r
+4v0CcYau64yx2IdFk73HlIOUXcE0XSMWvbznwvIcXdcvuOACv9+/a9euY8eOjRgxQkyTtixL13Wu
+64wxuVWbiNB1DAAAIB2gIg0AAJ0jJx43NjZ++umn48aNGzlyZKLwTM4gKCcHy1XQ0RPKKm+i6Dny
+6uotqMuwZYB3fS9ZqY7bbsz+kn0bztWUg4xZB07eaK4cG0WneUVr4O5L2AfpfkDkV0eOHDl+wvhP
+P/107969llPsT/UPGQAAABJBkAYAAC91cLG9rlj26+bMqU6zxsbGo0ePTpgwYdiwYZ5bUNY925+q
+X1KHS8uu3Z7mZK7l2epU6ngbknVnmrNYX61ub47Z1Eze83V3jzFy19WVm/J2C3MvRCci142Q+94p
+7bvdyV8bNmzYhPETjh492rivUTQYk427Re9uz+purPEGAABIOQRpAACIj7tbjcn/RMn05MmT7777
+bktLy4QJEwYPGUzu7cdEzgZpz45oNWcqNdtoflY7kzkJWQ2o6vbmaCTWo127DcOIW1j23EK0ibfY
+Gq07yVmZnhW9fTlhKyad2wcdO8jauUHXfSdNfVjEJYYOHZqdnd3S0vLuO+/aQ7AsS33A0W8MAAAg
+3WCPNAAAeMXfIC1xe4P03r17s7Kyxo8fr2u6jMHqFaOLt5WyrXpCXRAubsSVupUQ7lkNLq8VLUEr
+s6ai19JjtluTxhmXZ+q6zjknjYiTpmuMM2JEYh+12CxNXNysOCG+Rpqy+VnXNK4xjREncRnvvmjn
+tH0LnFzzrIg0TRs/fnxWVlZjY+OxY8eGDh3K1Aebc2yTBgAASDcI0gAAEIe7DOpe1824ZVnHjx//
+6KOPMjMzR48e7VmsTGr1VcnDShnWW6O2T6sjptUr6HY12Ht559Y8X4o94bpfeswCae4EXdJESNY0
+TSeda1wnnTGm6RpxTdM5Y0wEYU3T7JhN0YytpujoEco0zp07xTWuuS42evTozMzMj/71rxMnTliW
+ZRiGurpbJ139aSBFAwAApByWdgMAgIuMgpxcSZo4iQgtMt7Jkyc/+eSTzMzMQDBgX9O9t9m13JmI
+YtKfJxLbF3AXq52rer+qfsmzpDza2UteRfkvWtD2hO14FfXocZIrvEaPLeaoXJeNqcDL+6KuUSeN
+gsFgVlbWJ/v3nzhxQrxbIVZ3kz0Bi+TPwfMzAgAAgJRAkAYAgDhEanM2SNvRTtRjGWPMYocPHz5+
+/HgwGBwyeAhRnO3Qgjctu8+X58hqsJhr5VrITfbNR7dM69HB0eoe5ui2Z103DMPQDV3X7cnV8j9N
+M8QXxS0YuqsZuLP1Wvb39tS0Zea2v52me94viG6spmhKV0/HeXxIGzJkSCAQOH78+OHDh5l4p4J4
+9DGXncY4IjQAAEBaQJAGAIB2iUXdshwquo6Jjbsff/wx53zcuHHqPmeP2DpzHOqGZ7kIXFn+7Qqf
+yhhqT3xVa85qqTm6OFxpE0ZqxNW8Edf1joA60Sr2TE8X7o7upjryy/NAjR8/Xj6qdnc3pRQt2nen
+8q8CAAAAKLBHGgAAXNTZVyK7MR6N0MypTn/00UdENHr0aKLYtc3KpzEbpMldjvYsclZFo66SeV23
+q7nnXcVskJbHo5FmNxVT10Vz4hqP7uUWB8Bcl7G3QIuOYpzZN642DHMGXzGNie3Q8lvL9mPkdAgT
+txa9CxTdUz16zGgi+uhfH9lTuu22bnamNii61J7QbwwAACDVEKQBAMBLzdLR1CnnGzPGGDt48CAR
+nXbaaRSvWXfsbaqxVr1o3L3TpFFsJ3BXCTr6NXevMqUdt+csO9ASF1dhjNnp3EnFdkIWUdd9kHZC
+1uyW3WqDMVedWFwrtnKsxTnTFaqJzjj9DCI6dPCQ2ho9ul8dKRoAACCdIEgDAEAcIrgREY9mZ8bJ
+XnLMGDt58iQRZWVlyau4tkOTprWz0Nm78zkmHnPu1Ik93bndhWtXizA5ZMvTx8uzMlzcNaX3tdy9
+THb92IneXAnhTmFZ9t9WNyrbxyyiuDitKeOv4kZozZXexQ1mZmYS0YkTJ6K1aOIyU3PGyDDUfmMA
+AACQQtgjDQAAUbLmKfKaqzRNrtXdx48fJzVIe+JqvObbcWje054e2nHzsGuPsfOJpxu2/O6xXF+i
+aGKP6TLefovwhPco9q2B+Pu93dcSj+Tx48fl7GiRpdVCNBHJfmPoOgYAAJBCqEgDAEB8XB0m7dSi
+pRMnThBRZmYm9cIqYzWWq7OpY+rPpKwhF6u7PdmVc67rurpqWt4ckT3h2bUT2/l+oljdcwVhWZF2
+PcpKlkY5GgAAIH0gSAMAQLu42JxLnDO7CiqbeMuKtNbzSdpT443dFO3pHO5ZAS4/2rVcsU5bnu+s
+3BbdyFwNw3h0zXZPh1hZkY626baX1nP5U+jpxxkAAACShKXdAAAQh7K6297la1dxud11rKWlhYh8
+/q6+Iau1czrBxZK8Qc/ybI3swdTkHmF1aocdd+G36xt38hv5fD4iamlp8TzORPb7Gc4JxGkAAIDU
+Q5AGAAAvZWt09Bw521gUS8VS5Egk0rVv4W05pm6B7paW1O7EK7K0uma7G76DZ8d17ILwzjBNk4gy
+MzPlIyyX0osLcOyOBgAASBsI0gAAEKXENqce7Y1zYrkzHzx4MBG1trSe+vciInXdcvcERe465dwF
+HvPVU3qs5GG7jrlLNy4q/IMHD7YfX+UB53Jxd+wPCAAAAFIBQRoAAFw8Cc3V6Urp6T14yBAiam3t
+YpB2pehT3v/LKf4tiEAq2oyTUtRN9vqJvyO5MrPnHnU26IpHcvCQIdEUzV2dxtp79AAAAKD3IUgD
+AIBX3EKrDHfCoKwscuqoPXoo5KlXK223osVb9WJcVnFdw6LE3mO5/djbCpsrtxj3GynH0xPEIzko
+K0tNzjxeUEfXMQAAgJRD124AAIjDu7RYOS0+HTRoEBGdPHmSc949u5qTOyqx/ZhrXJwWn4tDsr/E
+7RbcjDG1WbfknX2l3jWKk8N7Z+zUyZMn5aPqqUJ7l9YDAABAqiFIAwBAfAkyGyc+cuRIIjp06JA8
+y9Vgy/m0g+CnXosTaU4k5hrX7FRL3B5J5Yrr8op2ttaityCuEi9Cx793smod7Y7d7lU6jtTcWz+n
+dir8nmsdPHiQiEaOHJngWyBFAwAApAkEaQAASI5aJuV01llnEdHHH3+sfj16WqbBmFq1qPLaQZei
+lWTxabTfNSfGmU66TNfq7UfHPlN02rMIuqJIbR+qqFm7vzvx6NEyzjxlZ/szdfW3cuPiqKKXc493
+tj9VWp07p5Vu4dwVyOVDun//fiI666yz5OFx3kGwBwAAgFTBHmkAAOgcEYPPOussTdM++uijOMuk
+430SrfomurTru8RukFauJL+mLHtWLit3RMe0v47OZ5YdyKMXSLwBOXaYM493gXh3JvYC3PV1/uGH
+H8pHFZVnAACANIeKNAAAJEfT1MnJw4cPHzx4cDgcPn78+LBhw+T5nHONiJRl2PamZRFUGWm6Jmu8
+Ygm3LDh7BjCL6KtR9PKkrOK2Iy+zF4Rz4jrXOXFdfY9YXsxeBx69ZYpbfI5pkW1XoRmL1qU9C7zF
+UYipz0q521XKjjv/WflGx48fD4fDgwcPHj58uPpgU29tPgcAAIBOQUUaAADia6+FmDg/IyPjjDPO
+aG1tbQo3Uft1Y7kUvF1K2Vle3tUx2z2i2bXQWp7t9AaLrtMmdz5mnDNXTo7egHIV72GrB+AuRLua
+eys31cHd9DQJdzQ1NbW0tJxxxhkZGRkdPvIAAACQcgjSAADQFZmZmaNGjWptbf1k/ydEslWXp+ja
+bsdpT2nXLjtz4sQZY5zJyVbcfUucM844Y9z5IzcTcy7mRYsTlmVZzBKVZNd/nFvii4yJi9mLwEm9
+ec4YY1zcljdU20neuUxsd7HYcrSrNO25POec+P79+1tbW0eNGpWZmUkIywAAAGkPQRoAAOKQxU91
+ObcmV3drNHjw4LPPPrulpeWDDz6Q/bfamxcVt1IrYqs8TTELn+NeRX4jcUIWhGVkjU63klVi5b9o
+SVhJ4K792PLbcud/MQcXs0hbOakG73Z2RJOatzlnjDU2Nra0tJx99tmDBw92Pc7xfgS99DcAAAAA
+2oc90gAA4CV3KquxTZwUoU7XdL/fP2HChIyMjMbGxmPHjg0fPlzd3yz2PzNiGmmcO/ugdaWZNkX3
+VKtbiOWuaU3TolVuRpqmMV1cgHPiuqbbV2FEGjHGSCN1dzTjTCONPLuu5Xcke+u1J4TLGMyJi2oz
+U1eEq5FbFpedOrZr7bf7exFXZ0Pz6BsBRER0/Pjxffv2ZWRkjB8/3u/365ouk7OamqNZGjVrAACA
+VENFGgAAXDw1T00tQ9th2j5/zJgxgwcP3vfBB8eOHovtsO3dw0zkLvZGLyPXhHs2JxO5CsjO0mwu
+A7ZrHTWPlri9ZWfXXuno8CoRlz0pOjrCSlmFrW66Js8adWWzdPx7HXvaXZ8+euTovn37Bg8ePHbs
+WE15hEl0G3NXp+P+jAAAAKCXIUgDAECUdwmxEuXk+XadV9NGjx49cuTIjz788NChQySnSclN0VxZ
+eu2IRmF1HXXMomtPHdizFzqagZlyCXtXM4tujY5HrgWPbqgWtyJr0e62ZGp4jt/f27Oa3alLezZX
+k6s67dpKfejQoQ8//HDkyJFnjTpLeXTd67qdDt5Y4w0AAJAOsLQbAAC8nHXF0bDmrOiOVqc1TcvK
+ysrNzX3vvffeeuutiy66yDAMeQt2hnRWVttLtcnOkJr8Q5xxZmgGyQKwGILlLK4WpzWuyflVIrAy
+znTSxe1H8yojIuctYuYefKVQq8qegrZ6GOoFxNxpGcI967rFl4hIDfzkvCPgekBiW4Iz/uabb0Yi
+kdzc3EFZgzSlCq0rb2GEw+Hdu3YZhqE7tmzZot6phoaGpqYm+Wltba38NHbBOUDvqK2tJaKCgoJU
+HwgAQPdDkAYAgDhEaZTENl2NiEjXdWd9t6br9k7e/Pz8TZs27dixY86cOYMGDVJnVmnuUdKMMV2P
+Rl+Rje0T9oXsidBi6LRGmjiHnCgotz2LC4vLiG3YpFZoY9pou+6YZ5S0MtrKE4/VdE1qHE0w6YrH
+LGInssdQxy5ZJyKitra2v/3tb5qmhcPhpUuXypx87Pixt95869R/jvPmzev2vxt9RUVFRVlZWVNT
+U2Fh4fr164PBYM99r6ampurq6tra2oULF+bk5HTqutXV1dXV1YWFhYWFhQkuVltbW1JSUltb29m7
+09TUJB+HhQsX9tyD4Pmms2bNEkG6sLBw8+bNvfN9AQB6DYI0AAC0y1lSrGm6HVZFgCYiQzd0Q88+
+J3vMmDEff/zxe++/d8nFl8j6cDQMyy5iakVa00RW1XVdlG1lQrZjtmg6pjQkI9GHjOzgbdernb3b
+4hzRbCwaWbX4fbl4bLiOuwjcYc/Hil2g7lrSbZejSSliK19spw8Z5+++++5HH300ZsyYz8/+/J/W
+/GnPnj3d+xNMnM36tw0bNoiyvIi43f5QNDQ01Dqqq6vFmRUVFXv27Ek+5ZaVlS1fvpyIli9fvmzZ
+stLS0vYuuXz5cpFLq6ur58+fn3w0LSsrq6ioEA9IQ0PDsmXLuvdxiEs8LOK0ePxRlwaAfgZBGgAA
+4lM7XYksLYnqtEba4MGDJ02a9Nxzz9XX1V94wYWGYYiYKnpuyw5kIkVzzmWF2VWvZpw0kgmZiGTL
+bvvqFL26yK5KyrYvoHGNaYxkLZ3bs6k9e4mjhWhN2c/sLNhWV2uLVdlyvber35jSySx6msVEZeVa
+JFugKd/UYlZdXV1bW9ukSZPGjx+/dOnShx9++Nlnn+3GH+JArkh3o4aGBrF4XqyZFxFaXUsvicsk
+H9pl2iSisrKygoKC9q6rFrplETuZIxcpWn6akgcw7mOVJPHgFxQU9OiaAgCAzkKQBgCAKFErVtZ1
+y83SdpYWEVp+zMrMuvDCC2tqanbt2nXw4MGzzjpL7IF25VWylzfruk6MNF0Tvb50XVeLw3JbtWtB
+NXGN2/VwO+jqXC75lhcgzb0Xmtsl8dgF2NEwz1wRN85macaJSO6OJrnnmbsuH+cW3BlbFrRlm3Fy
+ovtnn372xhtvDB48+MILL8zKzBo0aNB3v/vdCRMm/P73v++Wn+a8efOQPTqlqampoqJChmQR4Tp7
+I516zEtLS2U1m4hKSkp27twZ9xZKS0srKipkIl2+fHkyQbqkpET9tNeWdneXiooKcRdycnI2b97c
+2WXzAAA9B127AQAgDtk4WtN1zdm468nSwvjx40eNGrV3797GxsY4O4GVMzy51lPajS32RkvEFF0s
+3R7Z6EucYIwxyzmh4JwziyW+Ke8tiwt7hk67N1fLdd3ygOV99D4E0TtJ+/bt27t376hRo8aNG6c5
+D/Ott976u/t/N3z48FP/IQ7kdd1dILb1lpWVbdiwQZR8O5uiCwsLV69e3ak1zIWFhepa64aGBk/0
+lYLBoBqDxREmvnFxR+SnpaWlfeuvRG1tbVlZmXxk1NI6AEDKIUgDAICXqxCtNO7WnSZj4v9F8AsE
+ApMmTTp58uSWLVtaWlpk2pTJVhnHTESu5Onde6ykYnVnsjpKyh5z5XxUR1XZUZlFIzeLJ86X5K3I
+MVrOx+iBeb6Re0ZWnLHV5P6S2saMccbZyZaTmzdvPnny5KRJk4LBoKEb9qNq6FdNuWrz5s0XX3zx
+Kf4c+1ZqSrmysjJ1oXWHgsFgYWFhaWnp6tWrd+7cyTnfvHlzF0q+paWl6gp8T/r1XFItVm/YsCHB
+zYoeY/LTnJycBBuw01BTU1NJSYm6JhzLKwAgrWBpNwAAuMjV3XKBt+6MYxLJ2TAMi1ki+IlPr7zy
+yk2bNu3cubOxsfGCCy4gInvPs0aMM41r8jZlHhYbmOWGajtzak5fMRJNu51qrmb39HYGWmnyHJlT
+xQ3Guz8x5zihV34kuU3alXrjb5COXkZ5n8B1I5552sr3ktOwiFNjY+M///nPYcOGXXnllXKulXxU
+A4HA008//aMf/+jhhx7u2s8xPz+/36+DraioSBAmPduPY2NYMBhcvXq1PL+9gmcwGBRFZvlRnNON
+uW716tXV1dUyNLa3wFsUpUVzMnHAy5Yta+8wysrK1Ip6T/ct73aiRbn8tKCgoM+tSweA/g1BGgAA
+2qWRpms601h0vrFu16VFhBYfg8HgtGnTnnvuuc2bN+fm5mZmZka3MTvDouS+aHIq3py4aDPG5XQr
+rjHdDt66rsvEq5MumnJzjeua3Zpbpn2ucdGczP4Scbv1txbt8u0RG3FdFWO1ZTdnYoM3KUFa1qVJ
+VMtjVn27yvJMCdvOPWpta/3rpr+apjlt2rRgMCgfSfnYitZu9y6/d1L+pP/6r//qws+urq5u/vz5
+hYWF/XindHuroGO1V2oWWTr2AqJK3GuNpsVhzJ8/X3za0NAgmnjHXtKzU7qioiJunbmiokJ9X2DZ
+smWnfl9KSkpkI/TOmjVrVoKvimq5mpPFAnvP49Nf/xoDQB+Fpd0AABCHHBmtOfuhRczTKLo7Wlak
+MzIyRIHu1Vdfff/99z2F3Ogq6Jje13E+dZd7uaeVF3PWX/Po/md74be6cJox9fIqz9Ju15d4dO23
+/Bae8OyKyuqRM9c50TTuPi5yovv7771fW1srCpsZGRmyIi2Jx9kwjK9//evbamoCgUAXfogbNmwo
+KSkZOXLk5MmTly9fnqqOzT2kW+6OjIWefNgtybNT5s2bpy7wrqioiHsHPTul41bR1a3FRLRw4cJT
+X9RdXV2tBvju1dDQoB5wRUWFrLoLmzdvxvQsAEg3CNIAAOAid0Ur469I0zVRnjYMw65LG7qu6z6f
+T4TAs88++5JLLmlqatqxY4e9U5qiCVKtAHvyq+szshOsnT7b6wim3CA5G5jVDc+uSBzbbCzmYnYa
+Z+6uYEyJ4sz73bnc5u0sCOcx7dAYZ66uY84XW1paduzY0dTUdMkll4wdO1YEZp/PpxuGbti1aMMw
+5GM+aVL+7t27Z8yY0eWfqUhWubm5/SlR5+TknPom8N4fDyZC4+TJk7UYag22qakpNzdXi0cNmQ0N
+DbEXmDx5spp4Kyoq4l7Gs/Y7teQBi3d/1C91tn8bAEDvQJAGAIA4XC+6SdNF826nGm3ohqEbso7q
+8/kGDRp07bXXDh0y5Pnnn3/nnXfU3EgxI5dFWTZauKY4ZWdZB1abZnvKx67IqhaoWfTMeDHc/rKM
+354Lx/b0dh2eM8XKdfPqHSHn3skau7v2/vbbbz/77LNDhwy59tprs7KyfD6f86jaD6xTlbb/00gL
+BoPPPffc97///VP8saqJurO9tdLQ5s2befvUmN3eJXs5SNfW1or3MlL+yNfW1i5fvnzy5MlJHklB
+QUGPpllRM6+trY1N0dgaDQDpCUEaAAC8oq26iYjIM+9K3R0t6YY+bty4q66+urW19cknnzx27Jia
+k70h1l1WFvOomFLBtdNsvCgcrSer1WR30Zs7468sh7igfVoZfxW9CnNVtV0VbGWwFnMSePTeiUBu
+WcxiRKScG72X0fcCiB87fuzJJ59sbW296uqrx40b530Y5U5pucZbbBcnIqJ77rnnD3/4QzI7Re+8
+887EW6NljsrNze0HibqvKCsr66HV0V3j6eydQDAYFJ3Jk7R582b16onf8uCcL1u2rLa2dtasWerj
+s2zZMqRoAEhbaDYGAADxuYrSevS/aJY29GgO1A2fzzdt2rR33n33jTfeeOmllwoLC/0ZftH3i5xm
+4ERkdxdjpOma2DRt9/Tm7k7dTu1a03WZWuWBRVt2KxeWgdNzL4jsbmFq23CVLDW7blEeg2svNCOn
+A5l9lrgY2WO67E8Zl1+N3gJxy7K2b99eX19/Tk7OtGnTfD6fWBtvh2cjmqLVB1z1n//5nwUFBbfc
+ckuCRbk5OTm/+MUvxGkxSylBjyjR12r58uVipbRoTpbqv3qp1+GI5g7FXXZ+6jebhve0W8Sm6G7Z
+2g0A0HMQpAEAwEudgKXrOudcXd1tGAZjzDAMn+Hz+XyWZYktvj6f74wzzrhm2rTHHnvs2Wefvfji
+i8eOHStXPokkqZMuunmLAi8xIiLDMEh20mZ2u28Zeu1e35yYzjRlmJXdkVuzT9nXte+AUlSXPb+I
+1JzMnWZgpHTt9pyWF1O7dtu34yYu7L2YvJtO6j7wyYHnnn2Oc37NtGlnnnmmTNE+O1L7okVpZV23
+PbjbGeo9efLknTt3ihbKcX98ahIWLaxWr14tEnV1dXV7CbyhoUG0eg4Gg/PmzRvgiTpxl+lkFBQU
+7Ny5Uz0ntjF43L7cvaCsrEzdaF1dXZ3akeNxU7Topg4AkLawtBsAAOKIv7rbMDwLj0VdWkRBw2f4
+/f7LLrvsvPPO27t37//+7//aXce4u3zLo6uveUyn67gsZqkrw6ObnGWO5e5wy6L/qRunozuoWXSF
+drTarF49pje4WBEem5/Vq5OTnF1nOtG7taX1iSee2LNnz3nnnXfZZZf5/D7xVoS6rtv9wBrqum71
+5xIMBtevX99evS5uABZxes+ePTt37iwtLU0wYrqpqamiomL+/PkjR44sKSnpuV7N/Vttba0nOXse
+xhRm19TGZo+KigpPii4tLUWKBoD0hyANAADtkkVpe3W3RqKhdJQdBn3yxJAhQ2686cZAILBt27YX
+X3wx0haJn5apgwgt4qh9HLKJd0wPbXValTqzyrPP2XNO9EyZlp3M7EnjMu2rNWr1u8iStSuTq23S
+OGecmRGzpqZm69atgUDgxptuHDJkiF8kacPJ0j7XTmnZ5M1TjlYtW7Zs/fr1no3QOTk5iZtCFRQU
+LFu2TCbqBBcWiVoM0Jo/f34/SNS1tbXiHomG2JMnT1bvbLd/O8w97pD4cagP/urVq1NVqAcA6BQs
+7QYAgHa5d0nb67qjjccM548Tqg2fYZjGhPETZl0366mqpx5//PGxY8deeOGFGte4Fi3bEpFYpM04
+03RN4xo5a7nFgm0xSFmGbZEhOeek2eu3xSGJL4mrqHuw4+Lt7I6WJ2QCFucwZTs0xW6W5lwu5JYf
+7X5pFGft93vvvbd+/XpN02ZdN2v8uPF2Jd8nN5jbf1wVabG0W+2eHmPevHk5OTklJSWy+Jn8emzZ
+h7mhoWHDhg0bNmxI0HJMXKCkpGTevHkFBQXi+/b638euKykpiV3TLorG4kFQ77uY793eTTU1NakX
+FhPUYy9WWFjYtx6i3ifWO6jnoEc3APQhCNIAABCHqy+XM1FaN3TGmWyL5ed+y2f5rehH5mfMYplZ
+mVOunPLJ/k+2b9/+4IMPlpaWnn766cSJdGKc6epiKI2IE+OMyEnIejQSc2eftriYOBA1XUeztGZn
+aXLytms3ddwITXH2SKupmCvboeX/c2U5OpErTtvZmVyrxMUVDx06tGbNmv37919zzTVTrpySlZXl
+9/v9Pr/f5/f7/T6fL8OfEd0jbdjNxnRDj9595efiuSMFBQWbN28uKysTmaQLG5tzcnJKS0tLS0uT
+T9RlZWUiTqdJoq6trW1qampwNDU1eXpotbczXGZgtShaWFi4fv369r5XdXW1uoN62bJlabVSuk9o
+amqaNWuW582L1atXD+Rt+QDQ5yBIAwBAfNGWY9xuOcYY0zVd0zWDGzLsicXJpmWqs7AGDRo0ffr0
+vXv3vv32208//fS8+fOGDhnq6vsl+mwbOjnNrrnOiUhUrjlxjewEyTnXSefENV0TGVWGSTtFi3M0
+O9zKnt6JudKy0iFMpt/Y6rS6Ll3dVi0voN6UdOL4iaeffvqtt94aO3bs9OnTBw0aJBuMGU7HNjFC
+Wpb5NfEok135F+9jULwULYgQkpOTs3z58lMZ9isTdVNTk2z33d6FRTlXJurCwsIenTMcq6mpKUHH
+tcREQzX1XQA11KXkrYHly5f3XAPthQsXdjajikXX3XUAHTZva2pqmj9/fjI3FQwGN2/e3Mt/2QAA
+YiFIAwBA5xi6QZwMn8E48/l8Ylyz3/JbGZZsysUYO+uss4qKih5++OGnn346IzNj7hfnDho0SL0d
+kXjVXK1pGuNMI81uxy0bbzsNvTlxEelljZrILmtHF40nzJySGqHtEzFFaVIiNHkSstI+LVqIdpej
+OecnW04++eSTVVVVI0aMKCoqOuuss/xChvPR5xe1aHufuc8nsnQXfi6lpaXdVdALBoMLFy5cuHBh
+8omaiHJyckQ67Z2Qs3z58k6l6AI39Uuxq7V74fhVDQ0NSc5z7prq6ur169d36q+H2tY7rYit++hG
+BgAph2ZjAACQiNyda2+NNuwRx4Zu2P+pfcfkR5/P5/Pl5ubefPPNnPONT2ysqakxLdPbUYwxZil9
+v2RXbXdkje0Z5roMc10gUWuxeOfEv82439T1DZzm3ozJAVfiPQR5Ecuytr2w7fHHH+ec33zzzbm5
+uWIht1zF7XN1GDPkJmlR6hc9xtQfQYe6vZQqEvX69es55+vXr1+4cGGCBlpiJPXkyZNzc3PLyspS
+O6A4GAyqh7p58+adO3eKLbixOdkTyHt/qXYvdHHz7EYGAIBThCANAADxqRFaNhzTSBPhWTTEEplZ
+FFnFieinfl9WVtakSZNu/vzNRLRu3boXa16MRCLR8MvjjL8iIu45h8dv7u0Ovol6gJOzmTnxBdq7
+ZVl/9p7v7j3muZ1IJFJTU7N27VoiuvnzN+dPmpSVlSUenIyMDPWxsmvShvKo6oZGmtpojDoTp3uI
+GKB1+PDhJBP1rFmzxACtrq2+7tDChQvFGwfBYLCwsHDhwoVibNLmzZs554cPH06+sKweYXvNw06d
+520O9bvEFsl7lOdI4r7/0t5wtZQTb+6k+igAALC0GwAAOqLkOU0kPc65bugGNwzDYIyJerTf77cs
+y+/324OXLYtZLDMz84orrjx54mR1dfWf/vSnQYMGXTnlSp3sptycoou0xe5oi1miQzjJft0aiU/F
+KuvYHmPkbo0m06a6ldpzj3jMum5y746Wn8rNz3GSuXgjQKw6FyvAlUv985///OMf/3js2LHCwsIr
+rrgyKzNTlKI97ziIsWHyXQnRYMyegEWuIJ0+xPrt1atXV1dXi1Xf7bXyEqtwKyoqxJ7kwsLCwsLC
+7oqpOTk5e/bsOfXbEfdCvXc99Ljl5OSsX79eVIZjk/PmzZsT9Hjrwp3yrM1W71dOTs7q1avF2wft
+tYsTa/u75UhiW6YXFBSsX78+HdrUAQB0mcbj9TIFAAAQ5CZhOx4zyzIti1mmaZqmGWmLRMxIpC3S
+2tba1tbW1trW2tra0tLS2tpqn2hrbW1tPXr06LN/efbvf//7yJEjv/GNb1xxxRWZWZmeoOia86TJ
+TuEaEem6TurmZ6cpt3phecB27Owweypp2TnDXVsW7cGZq0e3u1BOnLi8gNTW1vbKK6/8z//8z+HD
+h6dMmXLzzTcPHTY0MzMzMyMzKysrMzMzM9M+kSH+ZGTIjdP2um/dMHzKSm/lQUhPtbW1opt3e4la
+JRL1vHnzenrM8qxZs2RC3rx5c3sLtidPnqwm2MOHDyc+ME/X7gS3nBJNTU3Lly/3pOiFCxf2/qbi
+hoaGkpISzwp/UU/GpGgA6AfS97cyAACkA0/KFZON7ZnSenRrtL1A2a66+mT1VQx5GjJ4yHXXXXf1
+1Kubm5t/97vfPf/8860trfb+ZO7anSx3SoszXV+SG5WZ68JOdzNlP7N3i3Q8yvdlTLkd5dbFYahr
+wpn7e7s+44xx1tra+txzz913333Nzc1XT736uuuuGzJkiDrpSpajpWgHbz06O1qdIE1J9E5LrYKC
+gmXLlu3Zs2fnzp3Lli1LvEpZzKMeOXLk/PnzKyoqemF7cAJlZWVqik68ZD39VVRUTJ48WU3RwWBw
+2bJlvZyim5qaysrKcnNzPSl63rx54m9Iqh8nAIBugKXdAACQiFw1bQdpXRelUfHRsiyxJpkx5jN8
+ls/yM78aSmUKHTFixMwZM7Mys7Zu3frwww8zxmZdN2vokKGaWBslc6KYXKWRfT4nOdfK/ro63Zpr
+nNwlZXFVnmzXbs80afV27Asw17e268/E5Spu+0uMc85PnDyx6flNf/7zn0+ePDljxoypU6eOGDFC
+bIqOdup2OH267a3RYnW3rlAXdad5kJbEiuVOjaSuqKjYuXNnSo62oqLCkznTdmNwh8Rabk9wLSws
+FHPRevNIRD3c8/6IeKslrUr3AACnCEEaAAA6EB0orWlinrPGNcMwiEjslxYf7YZejIsV4Hb9VlaH
+ORs+fHgoFMrKynrhhRcq1649cODAF7/4xTPOOENMtFILsNzisrcZEVmWFbtTmpxl3kSkcc0eHy1i
+sEbEEq7u5uQaoEXqMCvXBCx7pzRn6qQrEZtJWdFNRJ9++ukTTzyxadOmjIyMm2666corrxw8eLDP
+7xOtxUSWzsjIkOu37dK00rhbZOnoevU+Uo6OS46kFolarP2Oe0kxPasnWm0l3nIcOye5tLS0L+7a
+jRuhxZsCvfy+gHhjwrO8X/xNQHswAOh/EKQBAKADrqI013RNJ93uBCZajol4yRjz+XyuRM29I6OG
+DBlyxRVXZGVlPfPMM88888zBgwfnzZt37nnnisnJ3oZhshytkcY1xpkaKe14bydpUsvaGtc4kdZ+
+DxDx1Wg1m0c/evqQebZMRy+spGjLst7f8/6G9RtefvnlrKysG2+88ZJLLhkyZIjP58vwu1O035/h
+FKbt5dw+e123nHdl16Kp75Wj4xI5ioiSGUndZSUlJRUVFYWFhTk5OWJtdnV1dYJF47EpurCwsA+V
+oxscscGViESE7s016u1FaLEfvgtTsgsLC3uufToAQLdAszEAAOiYzJPqLmPLskzLZBaLRCKmaUYc
+oulYW1tba2uraD8mTre2trZF7IZk77333tYXtn704UfDhw8vLi6+6qqrhgwZ4mkz5inJRpc6K93F
+2hsNleTS7tg76FrgzV1fIoqZsEX8+LHjL7/88oMPPnjkyJGx48bO+LcZ5513nmgklpGRkZmRmZmp
+noppMOa079YNXVSn1dXdlAZTr7qdSNSyRr1s2bJTLFd6un/F5Wkhlpubq6a+goKCzZs3JxnbUt5s
+TLxrEPdLYgZYb9bV40bo7lJaWooN1QCQtlCRBgCAjtkrrpUF2KJ2anCDOMml3d621ox7atJiAbam
+a+eff34gGHjxxe31dXV/+MMf9u7de/PNN48ZM0Zk5Lhv8tqFcbk1WqRLpT6slnCTf5vYE6HlBmlN
+KVp7FnvLq+z/eP8zzzzz/PPPm6aZP2nStddec+YZZ2ZmZfp9/syMzGgVOiMjw5+R4c8QJ1ydxpw9
+0qIkHa1Ip8fs6J4g5wD3Wges2PJsTk6OzH6dStGU3BDmHhU3RfdyhBa9wXu6V9zy5ctzcnKwLBwA
+0hMq0gAAkBTXCOVoTZoxxkyFrEuL+nNra2ukza5Rt7a0RiKRlpYW0zRb21ojbZGjR4++/vrrL774
+4vHjx8eOHTt37tzLLrtsxIgRcuaT/VHXiOw90oKrWC1XdJO3Xp3MnbJPULRtmDghJ0iT2CNNrjnR
+zc3NtbW1TzzxxEcffTRkyJBrr7320ksvHTZsmN/vz8zMjH7McmrTGRkZmRkZ/gxxvlqLFl271WZj
+Ik5TPw3SPaGsrCxuqGtvg25tbe38+fMbGhoKCwvXr1/f2SXEcoG6WLrcy3dW/SshBnT3ZoSura0V
+s8F759sVFhZu3ry5d74XAECnIEgDAECyXPudGWOcWZbFGTdN07Isy7IiprPGuy0SiUREWhZLu8Xq
+bhGwI5FIa1ubGYm0traaptnQ0LBjx449e/Zwzi+77LKioqILLrggKyvLs5BbnSbtHSXtIS/S3h1R
+mnV7yPzsajamnNna2vrWW289+eSTtbW1mqbl5uZOnTo1JyfH5/NlZmaKj2qcjubnDL9dlM7IEBPC
+/D6/aDPm8/k0XVMHR6sr2yF5tbW1Mk7310226t+K3lz8XFFRIXa5x/1qd20y92z8DgaDhw8f7p07
+CADQKVjaDQAAnRNddUyarulMY6KDNyducJ89gdmnLPAWbcA04pzLBt1EpGuaOOecc8457bTT3nnn
+nRdffLG2tnbPnj1Tp0698cYbR48e7ff7Zc3Z7tet65r4ZhrX5NvBnl3Tsn13R2J3RJM7ZotvKu5L
+JBL5eP/Hzz373I4dO8Lh8PDhw6+99trPfe5zw4cPF9lY1JnFcm4RqkWKVtuM2T3GDMMwfPYkbsOw
+R3PryM+nKrb7d3vB7xS/S9ciuprzu0VTU9Mp3sFgMJi4Zboov2/YsCHxkZeWlnZXcV5kaXkHu/Hh
+AgDoRgjSAACQLLlTmmQHb91ebs05N7hBBpGz0zg6UMq+BInxzjJIi48iRvp8voKCgnPOOefVV1+t
+q6t75plntm7dGgqFpk2bdu655w4eMljmZG5Z8uqcnH3RzvRp+3TSPCOvKF7XbiI6fvz4+++/v337
+9pqamhMnTgwdOnTq1KmXXXbZyJEj5XQrtTu3a2u086moQtsfDaVVd3RwtK5u80ac7rKmpqaysrIO
+s98pEmuqkx/cNWvWrG5P9d2yyjonJ2fnzp2etwZEN7gNGzYkaCQWDAYRdAFgwEKQBgCATohNwiJL
+q6Vdzjk3OPmJiDT3HiJPF27SSNRhRU37jDPOCIVC2dnZb7zxxptvvfncc8+9/PLLBQUF06ZNu/ji
+izMyMsSFo0leUyrS3JlBTZw62bXbKZ27viSq0C0tLbt279rx0o6dO3eGw2F/hj8vL+/SSy+dMGFC
+ZmamYRiy/7aoP/t9/qysLJ/fJ5uNiTP9MkkbTo8xnytIe7qLIUV3WVNT06xZsxIPke4WYp3z5s2b
+k8nSDQ0NPVEb7xYNDQ21tbVqPbmsrEzWhGOJdnELFy4sKSlJ2zsFANDTEKQBAKBzZJbWdI243UNb
+59HSNPlI3Z6s1qVl8zARnjVNM3TD7q2lkRkxdV0/99xzx48fP3ny5Ndff/3999/funXr9pe2jx41
++uqrr77sssvOOuss0Y2M1E7dYqU31zzfKAFXkCa7kE5OK7VwOPzpp5/Wvlr7tx1/2//J/kjEDIwY
+cfnll1966aVnnXWWXWH2+Xz+6KRouUda7IUW46IzMjL8Pr8/wy+ytLiKYRiGHk3RYlW3nPqVzMFD
+AmK2Vu98L9G8ev369R1esm/t1m4vRYse2gsXLpTDulN9pAAAKYMgDQAAnSPrwLqmc2KcSNd0zbC/
+6vP5SG451pz6sL2lmnRDJyJd1zWyl4Xrhl2Rlt22xKfjxo0766yzLrnkknffe++tN9/ct29fY2Pj
+U089deGFF1500UXnnXfeuHHjgsEgJ27fuFpT1ogzniCO2tu2XQvPOec83BT+8MMP333v3d27dr/5
+5pvHjh3TNO2000674MILzz/vvFGjRmVkZIhdzX6nxmxXmzP8fp9fNhvz+XyZWZk+w6dGbp/fmXbl
+M3yGT6Row7BXdGsaaU5bcgTpU+FJdx3uAe6shoYGdbWzaN/doWAwWFpamqDMm0ILFy70bG8uKCjw
+vBkxz5HqgwUASBcI0gAA0GnKZmmRADVniTcRkVinbSdbNaxyrpFGmfZF5Uc5PFnXRLY0IpGIaZm6
+ros4XXDZZfv373/n3Xf3NTbW1dW9+uqrgwYNGjx48DnnnHPBBRdccMEFp512mr3EOsOfkZEhBmUl
+GEvBOBN9xUU78cOHD7/11ltvvvnm3r17T548eeLECV3XhwwZkj9p0ufOP3/06NGDBw8WEVq0CrOz
+sc/nd1N3SouF3H6/3/AZIm/bHcYMn3tFt9wXjVp091B37cbd/XvqEq98bs+yZcu6pcN2L3TtXr16
+9axZs5qamkQJet68ebHjtTy7o7txFQD2XQNAn4AgDQAAnSaDdHSptrKsWtR71Vq0Rpq6nFuWnTVN
+0w1dN3TTMEXKNCOmaZo+n08OpjZ8RmZm5ogRI84999xwOPzhhx9+/PHHn3zyycGDB//+97///e9/
+1zRt+PDho0ePPuOMM84444zTTz992LBhst2XiLKWaYnYLD4ePXr04MGDBw8e/PTTT/fv33/kyBGR
+urOysk4//fTzzz9/zJgx48aNCwQCYsKzbuhib7NgZ2m/z++zw7M4LXZN2/26nfN9Pr/f6dQt13WL
+2xRvHJAWHZGNIN29cnJyemJNdWFhYXrWlrtLQUFBh0OnPMm5rKws1UcNANCrEKQBAKCLNE1zUrMm
+ErOYbqUbusY0bjhtwJzJz7Fdu8WFdU03dEPTNc3eL2zXqO3Fz5ZhmiZjzDCM004/LRAMfO5znxN1
+408//fTjjz/et29fS0tL4759DQ0NlmUxxvx+v6EQ38hSRCIRUfrWDcPQ9ZEjR06YMGHM2WPOPOPM
+wYMHDxo0KCMzw97JbBi6rsv87KpI+31yopU/w+8zfHa69ju1aMOQXbrtSVfO1mj7zQXxONi1aCJC
+igYAAOgbEKQBAKCLNE0T/a3VeKzrusY1RsxHPrFa29IscWFd003TJI1EOhUp1zRNn+ETydZn+Eyf
+GYlEfD6fZVmiIi1OWJZlWqbP8jHGMjMyhwwZwhgbM2bMpZdealrmyRMnm5ubjxw5cvTo0SNHjrS0
+tKhXtCzLLgg7YTgrK2v48OHDhw8fNmzYiBEjBg0eJPKtOLAoQxe7muUVPUu7owu5nfAsP8qLyavr
+4o+uu1az6zq5m5kDAABA+kOQBgCAUxJbZCaNdNI5cZ10UW7lznBpkjul5RV1zd5IrZGaYSNmRORM
+0zRJ0wzD8DGfWlVmjIkO2z6fLzMjc9iwYUQkzhQVbHEZy7LE4cn0rgZmcgZZq8lWlrKdqrW9rlss
+Po/233ZWdPv8Pn+GXw3Y4rThM1yFcV2TKVpK9U8PoHvIVt6nrra2Fv3AASD9IUgDAEDXqVFQBGOf
+z8c55zrXuc4ZF0uyDZ/BLGbnWllhNk3LsiKmaZoR0/4/04xEiEicZZlWxIwwi0UiERGeTctkFrMs
+y2IWZ1xEZcYY43ao5g5qp9mYOq7Z0+RMxGbRPFzmZ9mjm4h0Q/f7/CJL+2Tp2b0R2l2CFllcd026
+0nSKmReNRA193bx58zytv7usrKwMQRoA0h+CNAAAnCq191h0jTfpTGc62bnRIkvTNMuZlhxd3qxr
+hq4bumH4DL1N9xkGEZmm6TN9pmn6LJ8ZMeVKb4tZdpB2qs12imbRFM0YIyVFq3FaTa2yMizzrVqv
+liuxfU6fMCIS86tcLccy/HaxWvwxopeX+TnaX038icnPSNEAAAB9jn7qNwEAAAAAAAAwcKAiDQAA
+3UOWoz1FaUMzRLsvTdM0XWMaU1dW67pu6Zb4P0M3LMsiItFvzF4B7jPFnmfTNNWl3cxZ1m0v7naW
+djPuVKTjjpF2uoiL4rCnQ7jurMRWt0aLrt1E5Gnc7UyF9skqtEpOtxLbs8WMK3VfNMrRAAAAfReC
+NAAAdJu4WZoTNwyDMUYaaUyLXtSTYE2dGSximkRkGIYYUsUYMy37j9/yu5qNcSaytMUsxjjJIM2Y
+2tuMnNXd7llTStNsTdN1TZ3t7MnDYtU2EfkMn67rIkLrhuF3MrbanEzdF61O8/J0F0OKBgAA6NMQ
+pAEAoDvJcCiytKZpYtOyHItl75fWdaZpjHM7Thu6ruuWZYlO2iI/G4ZhMctn+iy1XbezTdpdjxbt
+xlz9xuKWpLVoozE7S8u4G61JOzucVURk+Ayxl1skavsLPns0tOHz6ZqmG4auadF90cr3Uh8fROg+
+raGhIdWHAAAAKYYgDQAA3U+2HyMiXdftNmCcGZpdmtYZtzTSGdc0YhbTmKZrum7ohmUQkUjUzGCW
+ZTEfkyu67bbfjHHRacwpSnOlHE3OEKwExyZirWeesyxHa7puqIOyDN1n+MTlY7uRqX9Ex2+ZzOMu
+50aE7k3V1dUlJSXdNZZJqqio6NHDbmho2LBhQ2FhYUFBgedLy5cvVz/t9rvWZZ4DO5X73tMPLwBA
+t0CQBgCAHqFmaXWZt1qaFgGaaUxjOteZ+ENEIlHL2GxYhsjQ6nJuMf6KE5ctu5m9wju58Ve6ppGr
+ebhGmhx/JXO1jM5EJNO1OzyLenR0R7RnLTdSdC8rKChQhyf1dCqLzbqnqKGhITc3V36qzpRqamqq
+ra3t0e/e5TteXV3dEzOrumukFgBAt0OQBgCAnhJ3mbemabI0rWmaxjSNNE3XObPjNBHpTOcGt5jl
+Yz7XpCvGZJaWU6/U/GzPvhIhOm5RWtM0zd4pLZd2i0StzsGSwVhWoYlIxmzno3MpZ7O3s+maxLBo
+LOdOiYULF3ZXdTTJb9e9N+hJ/onTaQqDdDAYLCws7OmBzym8gwAAiSFIAwBAD1KzNDnLvGVp2r6M
+rumMM82O00SkMZ0zpjOdc67rOmPMMAyRnC3LYj7OGeOcW4yR2qybE1eo31c9GFetWIu27yZNM+xo
+rdv7nJXt0ySCtF2+dkVoWc323rjzTRGhe1NOTs769etLSkqampp6+nuVlpZ2e5BOfrV2aWlpapd2
+r169ev78+Z4ieTdauHDhsmXLUngHAQAS0BLsIgMAAOgunuXW3E1WlWVJmVlKzVktPnPXCVmLdrZh
+c3nr6vcVopVhewKWwr3S23VC12SF2Y7Whm5fWLkWWnOnlaampurq6p7LeDk5OYWFhTk5OT1x5GVl
+ZYlXpAeDwdLS0tLS0h66d53SE4u6g8HgvHnzeuLhBQDoLgjSAADQe9RaMRHZsdkdp4nIXqft9OKW
+lWeZqNWt0Z4sTXJpt/Mto9/eTrbRIVhqilY3S6vLvEWlmpyd1Xb/sJgITcpabkIhGk5ZbW1tQ0OD
+570AsaAaC54BAFIOQRoAAHpb4jhN7nq1GpsZj86Lll8lIrGu23Mj9vdShmCJ/ExKhBbnRgvO6rQq
+TVNXbnsu4Kk/I0IDAAAMKAjSAACQArGNtT0lZVFWlimaxFArpfgsQrVrajQnNYe3961l0HXajqlb
+pjUlTNs1ZyLSdI2IZAnaey3llglruQEAAAYABGkAAEiZuHFafpR1ZrmEW7YTY5yRswJcXNK+DeXq
+icdfiU/EB1GRFuGZSBn+7ATmaMFZvTAiNAAAwECFIA0AAKkXW0N2LfN2FmirA65cPbrFzmoevWbi
+byf7jTmLu119vNWF3PaXKZqTYyM08jMAAMBAgyANAADpIm6rbc8sKzVUe5aCt3cLHp6u2hTTe0ye
+maD/NkrQAAAAAxmCNAAApKO4y7PbC9WeyydVkY4NwzHhOTYnIz8DAAAAIUgDAECaay8bt7u/mtyX
+VD/T1JPJFpm9FWwAAAAY8BCkAQCgz+hw5XZsrvaITc4JLoPkDAAAAHEhSAMAQF/VYWxORjLRGgAA
+AECFIA0AAGntFH9PqVc/xZyMmA0AAACCfuo3AQAAAAAAADBw+FJ9AAAA0G+11yGsU1fsbEU6yct3
+obzctUXgWDoOAADQ/yBIAwBA6sWOuWrvSx3fFCV3+e7b2IR4DAAAMNAgSAMAQCckOai5g5lVcVMs
+J9LsfJs4SMc559RisUbtjrxq70xN0+yj1dq9wQSTtChh/Ma0agAAgDSHIA0AAN3GM54qfuJVArPn
+MpzFmW4VJyTHnnGKjTNjEmvcZK7xeEOnuesccWucc/U+xrkpzW72ibQMAADQF6HZGAAAAAAAAEAn
+YPwVAAAk0uF25aRWcfP4l49feebtfrsEB9Pl1d2edd0drr62z9TiXF1dku26rvvCnptNsJAbjcoA
+AADSE4I0AABEdTY2d5iWvVG5nS3QnPPYJGxfisdZ7x33nLq6unBzWH7a2Ni4r3GfeoERgRH5+fnq
+OdND09VPE+9qJjvxui9AWmwSlmE7NqV7LywvqJyZeI800jUAAEDKIUgDAAxcvP2MKs/3hF5PZpYX
+8HYR464b93yVczseew5AJGH5Dfc1Njbu20fKAdTV1cnTmqbV1NR07wOSl5c3YsQI+Wl2dnYgEJCf
+BgKBPCeHiwArcrgnADvJmkhJyHEalbnzs+syzlc91+owWiNXAwAA9A4EaQCAASRxco6tNqux2VNk
+ll+KLT6LL4m0rN5aXV1dUzgs0m99XR0RdXsSjpWdnT1hwoRwOFxfX9/T3ysvLy8QCEzIzg4EAnl5
+ecFgYHpoekyEVsrVnhp1e+dT5wrXyNUAAAA9DUEaAKCf62APc2zB2V1tjsZmHj9Lq4FZnl9XVx8O
+h2u2bSOiurq6ffv27du3j7pEJGH5aSAQyM7OVi8QCoXUT0Wa7fBmPRm+rq6uublZfhoOhxsbG9VP
+u5zDA4HApZdemu2k60AwGAqFNM29Jjxhuo4fre1La0nmaoRqAACAboQgDQDQ37RXdo5fcI5XbSb3
+mm1ZmvZkZpGs9zU27t27164z19cnk5lDoZCah7uWhFOrvRwuEnh9fX04HE5wdZmuR4wYkZ+fn33O
+OXl5eQnStbquO/a0uiU7ca7G/moAAIBugSANANAfJAjP7SVnT7WZ3HHaLj8rsZlxvq+xsbGxsa6u
+TlRom5ubO6zTysw8YcKE7OzsPhGSu0tNTY14oJJM1xMmTJgwYUJeXp6maaFQKBAM5uXl6a7qtN3t
+TI3WsVXr9nI1FoEDAAB0FwRpAIA+KcFu56TCc8KCM+d8b+PexsZ99XV14XBYlFuRmbtF19K1aDYe
+mj49EAjk5+dFg7SmxeZq9UQXitUI1QAAAB1CkAYA6EsSbHiOG54ZY55NzrEFZ1ltrqmpqaurq6+v
+7zAzi5Ccn5+PzNwtZLpubGwMO/3YEhCF6/z8/ND06bJqHZurPcVqXdeJSD1H3FpssRrbqgEAABJD
+kAYASHdxw3P8wVTcu2abM7kwmxERZ64gXV9Xt23btsbGxhdffLG9jc0yM4vdvCI5p/oh6f9krt63
+b1/idD0iMCJ0bWjChAnTp08PTZ/uCtK6RkS6ptvBWNfiDNzqaAU4QjUAAEAsBGkAgHQUd+W2qzO2
+u8O2WnkW4ZkxppadGWfi9Guvvfbiiy/W1de9WPOi2qdayMvLmz59OjJzelLTdV1dXdxofe211+bl
+5YVCoenTp4uAbAdpJzXbdWl3rlaL1WpyjtucDKEaAAAAQRoAII0kLj57NjwzxkjOoHKHZ84YEanh
+uaampr6+/sUX2w3PIn1hhXbfUlNTIxbkJx+qiUjTdXKalokILUO1ruux26rbK1MTQjUAAAxUCNIA
+AKmXZH5WdziLT0VyJiJPeOaM1dTUbKvZ9nr96y+++GLsdwyFQvn5+QjP/YkI1Y2NjWLHteereXl5
+11x7TX5efigUCo4cSURqrpahWl3sHbv2O0HrbyRqAAAYUBCkAQBSo73F24mKz9HeYNENz/bppMNz
+KBTyzG2G/qe+vn7btm319fXthuprrhFvowSDQRGkxQpwdVu1jM3tlakp3tpvJGoAABgIEKQBAHpV
+F4rPscu2RZ9t4vzw4cNiwbb4GPvtEJ4hcai+9NJLxQrw/Pz8c845hzQnQytlanLWfsdtBk4xlWok
+agAA6PcQpAEAekNsfo7bOcyTn2OLz5zT4UOH5Ibn119/3fONAoFAKBSyZyMhPINbfX29mHAmFoF7
+vjphwgS5rfqcnJz4ZWolUZNIy+2v/UaiBgCA/gpBGgCgB8XNz64Irex2JvfibU/x+cknnxStthOE
+Z9EzLNV3GvoGsZu6w1D9hS98IRAMqmVqufCbnJDs2VxNSNQAANDfIUgDAHS/xPlZhmciUvOzvXib
+uYL0k08++eKLLz711FOebtvZ2dl5eXkIz9AtZKgW9WrPV2fPnh0KhYqKioIjR3rK1LJFGRI1AAAM
+KAjSAADdJsn8LMMzEcXNz8yy6urqnnrqqXXr1qn5OTs7W1SeQ6EQJjxDDwmHwyJUi53V6pduvfXW
+a6+9tqioSDcMkYXV9d5I1AAAMHAgSAMAdIP2InSczc9K8ZnUzc+MM8ZEfn766af37dsnbyo7O7uo
+qKioqAiVZ+hljY2NVVVVVVVVaqIeMWLEnDlzrr322tlzZuuaruu6Jv7EJOrYGVpx4zQhUQMAQF+D
+IA0A0HUJStCMs9j8LDOz2kWMMba3ce9TVU89/fTT6v7nQCBQVFQkltSm+o7CQFdfXy8StbqbevyE
+8bM/P3vOnDl5+XmxiVqdoaUmant4dcxIatSoAQCgD0GQBgDonI7nPzubnz3Nt8WeZ1l8Zpzt27cv
+Nj8TUXFxMfIzpCeRqCsrK9VJWiJR33rrrdnnZLsStXtCtShK2/O03O3KsOobAAD6FgRpAIBkJRgB
+7dkCHT8/c2KWxThrDjc/+eST27dvf+aZZ9SbkvXnQCCQ6vsK0IGqqqqampqqqio1UV966aWf//zn
+i4qKJmRP0DVdbKWOm6jVTdRE1N6qb8RpAABITwjSAAAdSDwCOu4SbpGlmWUPr+KMiQJ1ZWVlbH7O
+y8srLi5GfoY+qsqhnikSdXFxcSAQ0HVdbpvWDT12EzU586jjrvpGogYAgDSEIA0A0IFTD9JVTz65
+bdu2p59+Wq3d5eXliRZi6L8N/UA4HJY1avX8OXPmhEKhoi98YWQwiCANAAD9BoI0AEC7EvTiJqJ2
+13Izzjm3GKt77bW1a9c+9dRTan4WLbhvu+025Gfol8LhcGVlpafRNxHNmTOnqKio6AtfMHR3NzL3
+POrE/b0RpwEAIE0gSAMAxOGJ0J5eYp7TnHOLWXIvdN1rdU8++eRTTz2FEVYwkMUfnRUYUTSnKBQK
+feGLX5B7p4nI0A0tHnIXqBGnAQAgTSBIAwBEJTMOur0R0Hv37n3yySfXrl2r5meMsAKIOzorEAjc
+dtttRV/4wqT8fCJqbxg1CtQAAJCeEKQBAIgSR2h1nJWzBZqI7C5inFU+WFlZWelZyIoRVgAecUdn
+TZgwYc6cOYsXLw6ODIoata65NlGr47IQpwEAIE0gSAPAQNfeKm7ZRSx2C7QI0oebmlb+f//f2nVr
+m8PN8tYwwgqgQ3FHZ916662LFi06JyfHDtLtFKhjG5LFngAAAOhpCNIAMHAlH6FlF24RpPfu3bt2
+7dq1a9c2N9sRGiOsALogdnTWrbfeWlRUNH36dBGko12+O4rThAI1AAD0IgRpABiIZGZWP5UbodVV
+3LKLmMUYZ2zv3r333XffunXr5HVDodDixYtDoVCq7xNAX9XY2Lhq1arKykp5zrXXXvud73xn+vTp
+mq4but2TTG1Iput6e9unKSZgAwAAdDsEaQAYQJLcCG1/dFZxM86ZZW2r2bZu7bqnn35aXre4uHjR
+okWYYpXmampqxIm8vDysF0hn4XBYxGm53vvSSy+99bZbi28r1g3Ds95blKbV7dMUr0CNOA0AAD0E
+QRoA+r/Y/ExEYp+z2j9M3QgtV3EzxqqqqtauXbt9+3ZxRdGIGxE6TTQ2Noo26TIw19XVEVF9fb26
+/1Yllg9kZ2eLXC0CdiAQwGSydCDitNrie8KECd/+9reLiopGjhwp13vH2T6tJGcRsAWs9wYAgJ6A
+IA0A/VmnIjSL1qMZsxhjbO3atb/97W/lOKtAICCq0ChsppYYTewZp9QtxLskeXl52O6ecpWVlatW
+rZI/4hEjRtx6663f+c53Ro4caQdp2d9b1zSy87O60htxGgAAeg6CNAD0T7ERWuRnaqeXmIjQYiP0
+4cOH165d+9BDD8kInZ2dvWjRIoSrFGpsbKypqRGtntu7TEFBQTAYFCeIKCcnJycnR3xaW1tLRE1N
+TeJEQ0NDU1MTEVVXV7d3ayJOT58+HZXqFBLjsuRyAxGnb73t1pxzcuT2aV2u8Y7XkAxxGgAAegKC
+NAD0N4l7cYtzZDsxtZcYs6ympqZVq1Y99NBDsh23iNDFxcWpvlsDlKg819TUiAXbUjAYnDdvXmFh
+YTAYDAaDIjl3WUNDQ0NDAxFVV1dv2LBBnJays7NlmTrVj8cAVVNTs3LlShmniWjBggXfWfSdc7LP
+0Q1DxGkiUhuSdTguC3EaAABOBYI0APQrajvuuOOs5PkWs9ReYqId90MPPSRvCu24UyUcDsvis2ef
+c0FBQWFh4bx5804xOSfW0NCwYcOG2traDRs2eL4k5oSHQiHskO99sc29r7nmGtHcWzcMIpIrvZOM
+08jSAADQZQjSANBPdBih5ZmeCF1XVycWcsubEhOhEaF7X2zfZkEUnwsLC8VS7V7T1NRUXV0tytRi
+KbiEnu2p0tjYuHbtWvUvyTXXXHPbbbfNnj1b13W1vzfiNAAA9BwEaQDo8zxDoUXZOTZCi/wsLmBP
+tNq27b777pPtuAnpKHViI3ROTo6oPM+bNy/VR0dEJArU1dXVYpe1gL8wqRL7F2b8+PF33HFHcXFx
+knHas3cacRoAADoFQRoA+rBORWjGmH0BxiorK9etW/f666+LK4p23LfddhsSUUp4+jMXFhYuW7as
+Rxdvn4qGhoaKiorly5eLT9HLPYXC4XBVVZX6l2f8+PGzZ89etGhRMBjUHYjTAADQ7RCkAaBPittR
+zDXRKt5GaMbYunXr1IlWoo8UUlCqxEbo0tLSwsLCVB9XxxoaGpYvX15RUSE+RZxOrcrKysrKyvr6
+evHpiBEjFixYYMfp9qvTKnHF2BMAAABxIUgDQB/TcYQmzpk3QqMdd7qpqalZsmRJX4zQqtg4vWjR
+osWLF6f6uAao+M29v/Odc845xxunlUFZsXGa0NkbAAA6giANAH2DmpzlibgROlp/bidCh0KhoqIi
+ROhU8aSdnJyc0tLShQsXpvq4uq66unr58uVyJDXeo0kt0e9dbe69YMGC2267LT8/347TSqiOjdMU
+U5dGnAYAgFgI0gDQB3j2QkfTM3HionkYkxFaDoVmjK1atep3v/udGqEx0SqFGhsblyxZ0p8itCo2
+Tq9YsQJ/2VIldlbWHXfcIRd7i9HTMk7rmq7rOmmkkZ2c0dkbAAASQ5AGgLTmidDk7ijmBGcWG6G3
+bdv2i1/84o033hDXQnfllKuqqlqyZInosRwMBktLS0tLS1N9UN2vurq6pKSkoaFBfLp48eLy8vJU
+H9TA5WnuPWLEiDvvvFN29lbjtKhL273H0IoMAAA6giANAGkqdi80uZdzR1M0Z8yKRuiGhoZ77rnn
+mWeeEVcMhUJ33313Xl5equ/QgLZ06dKVK1eK0yJCB4PBVB9UD6qoqCgrKxOjp0Oh0Jo1a9CELIXC
+4fDSpUtldfqSSy656667pk+fLuO0bkdqXUTlxK3IEKcBAIAQpAEgDbXXTkye9kRoJ0hbzGI///nP
+H374YbGWOxAIlJeXY6tqajU2Nt5+++2inXIwGNy8eXPazrXqXk1NTbNmzRJDpwOBwJo1a7DMO7Vq
+amp++tOfys7eX/va1370ox8FRwYN3bCDtKHLQnSHnb0RpwEABjjjJz/5SaqPAQAgSl3L7dkLTUSW
+ZYnt0E4F2mKMWaZlWdYLL7zw5S9/ubq6urW1lYgWL168Zs2aKVOmpPoODWg1NTVf+tKXxLCxwsLC
+l156KScnJ9UH1UsGDRq0cOHClpaWHTt2tLS0PPbYY1lZWfgLmULZ2dnFxcVZWVn19fUtLS1vvPHG
+Qw89xBi7/PLL1TfvxBOORhonzjnXNE0+/5A7PyNLAwAMZKhIA0B66TBIq9OtxMeGhob/+q//evHF
+F8W1QqHQihUrsB065TzLuZctW5bqI0qNDRs2lJSUiGXeRUVFK1aswDLv1BIbp+VfzgkTJvzqV7/6
+t3/7NzEZi4jUEVmGYYgmZOhABgAAKgRpAEgXnhXdsU3FxJnqdKvDhw6tWrXqt7/9rbhKdnZ2eXl5
+UVFRqu/KQBcOh2+//XbRnTsYDK5fv77PDYjuXg0NDfPnzxfLvLOzs9esWYNN+ylXX1//05/+VPaQ
+nz17dnl5+Tk5OUSkjsiKNiGL6UCGNd4AAAMZgjQApF57m6I9O6KJSO0rVlVV9fOf/1wsGyaixYsX
+L1q0CLW+lKuvr587d65oklxQULB58+b+3VcseSUlJRUVFeL0ihUrsHs/HVRWVi5dulT29L711lt/
+9KMfxW1Chi3TAACgwh5pAEix+Gu5nQgtUrTFLHs7NLMsy3rt1VfvuOOO3/72t6KpWFFR0SOPPFJU
+VJSVlZXqezPQqSm6tLR0/fr1gwYNSvVBpYvZs2ePGTNGbJnetGnTqFGj8vPzU31QA11+fn5xcbGm
+aa+88kpra+s//vGPDRs2nHHGGeefdx4RiW3S9pbpmFF8hC3TAAADGCrSAJAyiddyi6ZiTEFEhw4d
+WrVq1e9+9ztxlezs7BUrVqAZcppQU/Tq1asXLlyY6iNKR7W1tbNmzRJbplGXTh+NjY1LliyRK72v
+ueaae++9NycnR1SmJU3XRI0aK70BAAY4BGkASI3EhWjx0ZlsZQ+IXrdu3c9//nM52qq4uLi8vDzV
+9wNsSNHJQ5ZOW1VVVUuXLm1sbBSffuuOby1etDgYDIqV3mJCVocrvZGlAQAGAgRpAOhtCQrRalNu
+uReaMbZt27bf/e5327dvF1cpLi5etGgR+nKnD6TozkKWTluent7jx4+/4447iouL1Y3Tnp7ecUvT
+hOo0AEC/hiANAL0qthCt9uVmbpZlHT58+Oc///nDDz8srpKXl3f33XdjLXdaCYfDBQUFSNGdpWbp
+jRs34m91WmlsbFy6dGlVVZX49JprrrnrrrsmTZrkWent6entKUejOg0A0I8hSANAL0lmLbfalJsx
+JrZDy7XcixYtWrx4carvB3jNnTtX7CxFiu4smaUDgUBtbS16zqebmpqaJUuWtLfSO0FPb3LXpRGn
+AQD6HwRpAOhZaniWJ9RCtGgqZjEruqLbsrZt2/aLX/zijTfeEFcR26ERM9LQ0qVLxSLY0tLSZcuW
+pfpw+p4NGzbMnz+fiPLy8rZu3Zrqw4E4li5dWllZKUdk3XnnncXFxYZhyDXe4qOnCRlGZAEA9G8Y
+fwUAPcgzMCZBUzFmWZZlWaa1Z8+e73//+/fcc8+nn35KRKFQ6E9/+lNxcTFGW6WhqqqqO++8k4gK
+CwvXrl2b6sPpky666KKWlpYdO3YcOHCgtbUVC7zTUCgUKioqam1tra+vb21tra6u3vTXTePGjRs/
+fjwRESdOJCIy51wjjZT3DQkjsgAA+ilUpAGgp8RN0bGFaHUt989+9rOHHnpIruUuLy9HE6a01djY
+OHPmzHA4HAwG9+zZEwwGU31EfdisWbOqq6uJaM2aNUVFRak+HIivpqbmpz/9aX19vfh0wYIFP/7x
+j9WV3rI0TaL3WMzGaSzzBgDoNxCkAaD7qX255Ue1EG3jXORn0zJrttV8//vf/+CDD8QtLF68eNGi
+RVjLnc5mzJghEsXmzZsLCwtTfTh9W1NTU25urtgsvWXLFnSkT2crV65ctWqVXOn97W9/+zuLvuMz
+fKKtty56jxHJVmRx904jTgMA9HUI0gDQzWKbipEz3SpuIbqpqel73/veM888I64SCoVWrFiBIJHm
+sDW621VXV8+aNYuwWbovCIfDYuO0+PTSSy/91a9+lZ+fL0vTRBS7cRqlaQCA/gR7pAGg27RXgnYV
+opWF3KZlvvDCC7fddts///lPIsrOzl6xYsXdd9+NQnSaq6ysXLp0KRHNmzdv9erVqT6cfiInJ2fQ
+oEHV1dUHDhw4cODA9ddfn+ojgnZlZWVdf/31N9xwQ2Nj4759+w4cOPDUU08xxi6/4nKNNOLEmf08
+KC6vkSszY9c0AEA/gCANAN2jgwHRaiHasXTp0tLSUrEjevHixffff39+fn6q7wd0oL6+/lvf+lZL
+S0tOTs7TTz89aNCgVB9R/zFt2rQ333zzzTffrK+vHzVqFP45pLlRo0bdcsstWVlZ9fX1zc3N27dv
+f/vtt6+55prMzEzGmJKhNU6ciDRN42R3I0OWBgDo67C0GwBOlVqIJrKTs9qXm4gsy1J3RB8+dLik
+pGT79u1EFAgEVqxYgQZLfYWcGr1z586CgoJUH05/09TUNHny5IaGBkyW7kPq6+u/+93vipYB48eP
+X7169aWXXmr4DHXjtGEYnnHTYis1Ydc0AEDfpKf6AACgb5OZWZyWKVou4jYt07RMy7JMx9atW6+6
+6iqRovPy8rZs2YIU3VfU1NSIFL1s2TKk6J4QDAbXr19PROFweNWqVak+HEhKXl7exo0bxYiBDz74
+4MYbb1y1alUkEpFPepZlmZYpnxU97zOqz6IAANBXoCINAF2nvvhjjBGRWMLtGRAtvmpapmVav/jF
+L+6//35xlcWLF5eXl6f6TkAniE7dOTk5e/bsSfWx9GclJSUVFRXo4N3nVFVVLVmyRDT0njp16urV
+q4PBoFqaNpxW3rquuwZlERE6kAEA9CmoSANAV8QtRDM7OjO7EO3WsKfhhhtuECk6EAhs3LgRKbpv
+qaysFItXS0tLU30s/Zx4hFGU7nOKioq2bNmSl5dHRDt27Jg6deoLL7zgfSq0TNl5UT5zojQNANDn
+oCINAJ3WXl8xy7LsOM2Z2lSMiKqqqsrKykRfsVAotGbNGmz+7HMKCgoaGxtRju4doihNRLW1tShK
+9zlyPhwRffOb37zrrrsMhW7oYiyWpmmGYWA4FgBAX4SKNAB0jmc5t8AZtyyxjtsS26HF/sCIGTl4
+8OB//dd//d//+39ld+6NGzciRfc5lZWVjY2NhHJ0b5GPM4rSfVF5ebl8u/APf/jDTTfdtGfPnogZ
+kc+N4qlSPGeK7TCCuDrq0gAA6Q8VaQBIVntjou0kLadbMcYsS7xSfK3utTt/eOeuXbuIKDs7e82a
+NWLRI/Q5ohxdWFi4efPmVB/LQFFWVrZ8+XJCUbrPCofDt99+u+jPN2LEiHvuuWfOnDmGYfh8Pnu/
+tKEbuiE2S6sNvT19vFGdBgBIQ6hIA0BSPJui7dHQ3C5EM4vZfWlNUXGJmKa5du3aWxfcKlK0unUQ
++pylS5eiHN37SktLg8EgES1ZsiTVxwJdIZpBLF68mIiam5vvuOOOX/ziF05ROmKaogOjePeR2Vtj
+OPM806I6DQCQnlCRBoCOeZZzu2rRjFnM4oybliXGREfMyOFDh3/4wx8+++yzRBQIBBYtWiReSkJf
+FA6HCwoKwuEwytG9TxalN27cGAqFUn040EU1NTVLliwR70Zdcskl99xzT/6kfL/PL1p5+wxD0zVD
+Fy294wyaJuyaBgBIP6hIA0A3e+P1N2bPni1StBivihTdp61atUqM80E5uvfJorTsXAV9USgU2rJl
+S1FRERG98cYbCxYsePjhh1N9UAAAcEqMn/zkJ6k+BgBIU2prbupoX7RlmpZlrVq1SvYVKy4uvv/+
++7G3s08Lh8Pf+ta3WlpaCgsL8fui9w0aNKipqWnHjh379u2bMmUK/jX1XVlZWUVFRVlZWTU1Na2t
+rdXV1fv377/88suzMjPtPdAaaaRx4qRsihbPvWotGnVpAIA0gaXdABCfZ2Ne3DFX0T7dlnX48OGF
+Cxe+9NJLRBQIBMrLy4uLi1N9J+BUySk+e/bsycnJSfXhDFC5ubkNDQ2hUGjjxo2pPhY4VfX19bff
+frtY5j1+/PjVq1dPmjTJGYtlGLprLBYRJmMBAKQpVKQBIA5PihZDWcTuaLkvmjFmOin6hW0vfPGL
+X3zvvffIWc49ffr0VN8JOFWNjY233347ES1cuPC2225L9eEMXIMGDXrmmWf27ds3atSo/Pz8VB8O
+nJJRo0bdcsstzc3N9fX1zc3NDz/8sD/Df/nllxMRJ9KIOHGNnBI1JyLSNI1zjro0AEBawR5pAHCJ
+0507Jj+LxdymZVmmGYlEfvazn331K1+VY6K3bt2KBaj9gxjbQ9gdnWoLFy4UywHq6+tTfSzQDQKB
+wIoVK1asWCEGTf/ynl/+53/+58GDB8XYwOgYQeZ09HaehD27bFJ9PwAABjQEaQCIijsput0xV5HI
+nj17brjhhvvvv5+IAoHAmjVrysvLU30noNuIIF1QUIBF3Sk3b948Iqqqqkr1gUC3KS4u3rhxoxgK
++Mwzz9xwww0vvPCCGXGPxWp/MhYpbSwAAKD3IUgDgC3upGi7o5hliSxtWZYlZkWb5v8++b833njj
+G2+8QUShUKi2tlb0pIV+Q8S2wsLCVB8I2D+FcDiMonR/kpeXt3XrVjHX4IMPPvjyl7+8dOlS8QRr
+WXLrjP30y1n8KdOpvhMAAAMUgjQAEMVMirYXEzIRphljzLREKdoyI6Zpmj/72c/+7zf/r1zOvXHj
+RrFGEfoNWfwUtVBIrcLCQjEHC0Xp/qe8vHzNmjXiKfT++++fP3/+oUOHzEg0TJuWKZ+T5fOzuC6y
+NABAqiBIAwx0nkK02IbnNOW21E3RYk/0q6++ev3118vl3Bs3bsRy7n5JVD6DwWBBQUGqjwWInKL0
+tm3bUn0g0P2Kioq2bNkilnlv3759ypQpTz75pGlGTDNiuXdNq0/RKE0DAKQQgjTAgBa7KdqzI1ps
+ijYdlQ9WfvnLXxbLuYuKimpra0OhUKrvBPQIUflEOTp9iCBdX18vJidBP5OdnS2XeTc3N5eUlMhl
+3qaz2htbpgEA0geCNMDAFTdFyzHRlrIlWnQX+973vldaWiqWc6trEaH/kWkNG6TTh3xTA6u7+7Hy
+8nK5U+b+391/4w03Hjx4UD4Jq1umiROyNABACiFIAwxQ6mpAe7aK01fMtSPasizTPHjw4H/8x388
+/PDD5C6bQH8l1w8jSKcPucwe/cb6N9G7USz2eeONN7785S+/+uqrkUhEJmmxZVptPya2TGONNwBA
+b0KQBhiIPK3F7DHRFovdEW2akUOHDt1yyy1/+ctfiCgUCsmNfNCPyXXdosEVpAkMwRogRPsJ8X7l
+rl27vvrVr7722mumacbZMm1Fp0yL6yJLAwD0DgRpgAEnNkXbQZq7x0Sbpmmar7766g033CA2RYup
+p1jO3e/JGUtoM5Zu5AIBZOmBoLy8fMWKFUTU3Nz8ta99rbKyMrpdWg6a5kx9GhdXRJYGAOgFCNIA
+A0vcBt2ytZg6JjoSibz66qtf+fJXPvjgAyJavHixeEkH/R4GX6WtgoKCnJwcIqqpqUn1sUBvKC4u
+Ft0ompub77zzzrWVayORiDpoWm0/FtvKO9WHDwDQnyFIAwwUnjFXngbddl8xpRy9bt26ObPniNZi
+K1aswIyrgUOUo3NyckRmg7QiitII0gNHUVGRXAp05513/uAHP1CL0kJ7rbyxaxoAoOcgSAMMCPHH
+XDmtxUQpWvQVE+WO++6778477yRnq15xcXGq7wH0Hgy+SmciSDc2NqLl2MCRl5cnm1P8+c9//sEP
+fnDo0CG1/Zi9ZVppP+Zp4o0sDQDQ7RCkAfq/OA26GeNia523tZhpmuYPfvCDe++9l5wUjUnRA0pj
+Y2M4HCb0605X8g2Ourq6VB8L9J7s7OyNGzfKLP2Vr3zl0KFDse3H5HN7bCtvZGkAgO6FIA3Qz8Vv
+0M2iTV/V1mIHDx785je/+eijjxJRXl5ebW0tGnQPNPv27RMn0K87bYkfjfxJwQARCAS2bt0q1geJ
+Vt6vvvqq2n4s2sqbeVt5E3ZNAwB0NwRpgP4syQbdlmVFzMjBgwe/9rWvPffcc0QUCoXQoHuAQ8vu
+tIUfzUC2YsUKORZrwYIFr776asSMiC6R7bXyRjdvAICegCAN0G+1m6LdDboty4pEIq+9+lro2tCu
+XbsIY64GNiwY7isaGxtTfQiQGupYrDmz56xbuy4ScbK00spbPu1jMhYAQE9AkAbohzwNuj1jrmIb
+dG/btu3WW28VDbox5mqAE38NUPNMZ+KnI7ayw8Akx2IR0Z133nnfffclaOWNyVgAAD0BQRqgv0kw
+5kp89DToXrt2rUzRGHMFAjZIpz8E6QFOHYt17733irFYrlbeynN+3MlYqb4HAAB9G4I0QL+ivjyS
+KZo4ieqEXatgTLYX+8UvfnHXXXcRxlyBA0u7+wqMvwLPWKxvfvObopW3ZZoWY2LVkb2bx7KIE7I0
+AEA3QpAG6D88r5Bih0WrY64ikUhpaenq1asJY64gBpZ2pzNMJgNJHYv17LPPfvWrXz148KBnLFaC
+KdPI0gAAXYYgDTAQNTc3L1iwAGOuIBaGKvUhKEoDxYzFmj179uuvv57qgwIA6P8QpAH6ifbK0dGp
+0XY52jx06NAtt9zy0ksvEcZcQQzRCzonJyfVBwLtkj8dbJMGSY7F+uCDD7785S+LEdN2B29LGS6N
+ojQAQDdBkAbo8+J2F4tN0WJT9Kuvvnr1VVe/8cYbhDFX0D4E6XSGnw7EpY7FuunGmx6sfNDphmF2
+mKURpwEAOgtBGqBvk6+ESO3RzbiaosWYK8uytr6w9Stf+QrGXEF7ampqUn0I0An4eYGHOharrLRs
+5aqV9qQG07RMK0GWlh8BACBJCNIAfVicFM0YZzzaXUZJ0Q8++OBXv/JVjLmCZKDZWJrDDwjao47F
++uU9v/ze974XiUQ8WdpilnizFVkaAKDLEKQB+qr4KZpzewWfxUzLnnIlUnRZWRlhzBUkB3Ok0xx+
+QJCAOhbr4Ycf/sEPfiCztPiVIFZ6y98ayNIAAF2AIA3QJ6mveMQrIVdTMcYsJorRlmVZlZWVaorG
+mCsAgP5NHYv15z//+Qc/+IF4U9UyLcsyoxMRxUpvzhljhCwNANAZCNIAfU97KZpxZqdoyxQp2jRN
+T4rGmCtIRm1tbaoPARLBDwg6pD7nyyxtL/B2sjSTvz2QpQEAOglBGqCPiU3RdpBWU7Rpb41eu3Yt
+UjQkb8KECeJEU1NTqo8FEhE/IPnzAogrNktHN0u7s7T8VULI0gAAyUGQBuhLkkzRouyAFA2dlZ2d
+nepDgE7Azws6lHxdGlkaAKBTEKQB+owOUrRTZ0CKhlNXXV2d6kOAduGnA53iydKlpaUyS4uN08jS
+AABdgCAN0DfE9uj2pmjLYk5T1nXr1iFFQ9egF10fgh8WJKm9urToqBGbpdHHGwCgQwjSAH1Ghyk6
+EomIFH3XXXcRUjScAuyRTmcNDQ2pPgToe9TfCI8++qjI0pFIJJksDQAAsRCkAfoA9TWNt0e3kqIt
+y0KKhlMUCAQIUS29iZ+O+EkBJC82S1uWFTdLe+ZLI04DAMTypfoAAKAD/SNFNzY21tTU1NfXh8Ph
+UChUXFyc6iOC+NC/qq9Iq3/g0FeI3w5z586tr69/9NFHiejee+8lIj+RKS9kEDEiIl3XiUjTNBmk
+NU1L9T0AAEgXCNIAaS1Rimasr6To+vr6uXPnhsNh8WlVVdXSpUvT7SBBhXZW6QxDpOFUdJClRVRG
+lgYA6AiWdgOkr9gUzTlXJ12J7mJpnqKJqLKyUqZoIRwOz507t7GxMdWHBl7p9pcH2pOfn5/qQ4C+
+Ku4ab9F7TJ2JJX/vyBSNZd4AABKCNECa8qZozjjnFrNi50W/9tpr6Zyiw+FwZWVl3PNvv/12T8CG
+lJM7b9FvLG3hRwOnzpOlf/vb38bOl7aYJX/7YMs0AIAHgjRAOoqTohm3mMUZj03Rt956K6Vriiai
++vr6BF+aO3duqg8Q4sP64bSFHw10C/W3xr333rtu3TpPlpa/d5ClAQBiIUgDpJfYFyviNQxjjDNu
+inV3Too+dOjQt7/97SNHjhDRihUr0jBFU0ejbuvr65csWZLqY4Qo+fNC4+70JH8uGCINpy4QCKxZ
+s0asQ7nrrrvq6urULG1alvztwziTcZqQpQEAEKQB0kqCFM0YU1O0ZVmHDh1asGDBhx9+SEQrVqwo
+KipK9eG3K/Er/srKSmTptCLekUG/sfS0YcMGcSI93zjr3+rr65cuXTp37tylS5cmWGvTt2RnZ2/c
+uFFk6QULFtTV1Yn90jJLOz0uuVqaJmRpABjwEKQB0kVsQxc1RVty2pVlicmfP/zhD3fv3k1Eixcv
+TvNpUnfffXfimbeVlZVx91FDSkyfPp2UwAZpRbzBEQqFMEe6ly1ZsmTGjBkrV66sqalZuXLljBkz
++s07gHl5eStWrCCiI0eO3HHHHQcPHrR/05gWs6dLy5ERyNIAADYEaYC04Hk5IlI0cZIpWhaiTdMU
+Kfr5558nouLi4vLy8lQffgfy8vLWrFmT+DJLlixBlk4TcnUDsnS6aWpqkkE61ccysMR9gqqsrOw3
+demioiKRpT/88MMFCxYcPHhQ/saxTFeWJk4iS4srIksDwICFIA2QeuoLEftdf86Jk2VZMkXb5QHT
+jEQi999//2OPPUZKGSH9hUKhDg+1P62W7NPy8vKys7MJq7vTj3xrI523cvQz4XB4xowZ7b3NV1VV
+leoD7DbFxcWLFy8mot27d//whz+MRCKmaTJmj8SymB2nLcsiTmIyrD9mygAAgABJREFUlrgisjQA
+DEwI0gAppr7+kBM7OecyRYs13SJFm6b50MMP/+pXvyKivLy8jRs3pvrwO0G+SmuPGC6NLJ0ORMET
+QTrdiH7d2dnZ4p0O6GkdPinV1dWl+hi7U3l5udgo9Pzzz//whz8Ub92KX0DMcn4hMWZZlr3/yMnS
+5P5dBgAwECBIA/Qlb7zxRvmPf0zuVqt9iHyV1p5wOPzd734Xw6VTTgTphoYGTFpKK6IijXJ070jm
+rb38/PxUH2Y3kwMgHnvssT/84Q+pPhwAgPSFIA2QeuKNfE85mnOulqMjZsQzMrqPlqRWrFjR4UCs
+uXPnIkunloxqKEqnj9ra2qamJsIG6V6R5AKZ2267LdVH2v3kcOnly5evW7cuYtpFaVGXtpglf0nJ
+ojTK0QAwACFIA6SSp/epeFEiErX9kkXsizYjhw4duuOOO5qbmymNR0Ynac2aNYmPH8Ol04HI0ug3
+lj7EzyIQCCBI97T6+vqZM2d2mKKLi4v76BuaiakrnpYvX/7aa69FzIg9X9r5xSR+W8WObEz1sQMA
+9B4EaYCUaS9F281dLMtizLRM0zIPHzq84Gt9Y2R0MpKpqFdVVSFLp5Z4s0NWQSHl0K+7d4hFMY2N
+jYkvVlxc3Fd6PXaBHC7d3Nx864Jb616rE7+MTMu0nN9Q8m1fZGkAGJgQpAFSw/PKQ87ojGZpxsSL
+FjNi/vKXv9y1axf1hZHRSUpmj3dlZeXKlStTfaQDF4ZgpRW5Xx1BukclubVk8eLF/ThFC3IqRHNz
+8w9/+MNDBw+ZEdMyLcs01bnSapwmZGkAGEgQpAFSwJuiORMfmd0YlZlOk+5IJFJWVvboo49SHxkZ
+nbxkuo4vXboUw6VTJTs7WxSlsU06HWDwVS9IMkWvWLGiPz0VJyCHS+/atetrX/vawYMHxUwsy17k
+zZjF5O8vZGkAGGgQpAF6m/oiw379wThjjDNuMTEz2mRy2NVDD4kU3YdGRicvmTu1ZMkSDMRKlenT
+pxOCdHoQ5ei8vLw+16u/r6iqqkoyRfePZUFJkmMLd+3ade+995oOe7o0i/7+EllaXAtZGgAGAuMn
+P/lJqo8BYACJnRpNnJy13KK3mMUZF2/3P/TQQz/60Y/IKd5mZWWl+vC7X35+flZWVk1NTYLLVFVV
+TZ8+fdSoUak+2AEnEAhUVla2tLSMGTOmoKAg1YczcDU0NJSUlBBRSUnJlClTUn04/VBlZeW3vvWt
+lpaWxBcbaClaCIVCBw4cqK+v37179yeffDJjxgxN04hII03TNBJ/iHTNrs3YX1U+AgD0SxreMgTo
+TfJ9ermpzFkfZ1nOcjkiMk1TDLtqbm4OBAJbtmzpl71hpSVLliRewh0IBGpra1GL631z586tqanJ
+ycnZuXNnMBhM9eEMUPPnz9+wYQP+FfSQysrKZFobDswULc2YMUMsDlq6dOmCBQv8fr/P5zMMw+fz
+GT7D0A1d1w3D0DRN0zRd14lInE71gQMA9BQs7QboPbFtuj3zohljYtXca6+9tmDBApGi++7I6OR1
++ApVzHTFcOneJ1Z1NjQ0LF++PNXHMkBVV1eLDdLFxcVI0d0umRQdCAS2bt06kFM0KcOly8vLH3ro
+IbFZWsyYiB0ujc3SADAQoCIN0Evit+mWk66cedFmxGxubv7aggW7d+0iojVr1gyQ3kIiKifeDh0K
+hTrsTwbdThSlg8Hgnj17UJTufbNmzaqurkY5uiesXLly6dKliS8j3s0UGXKAa2xsnDlzZjgcHj58
++IMPPjhp0iSf3+f32aVpQXfIcjTq0gDQX6EiDdAb2m3T7Uy6skd0mubBQwcXOCm6H4yMTl4yr1Zr
+amowXLr3iaJ0U1MTitK9r7q6WjR7Qzm62y1ZsgQpulPkcOkjR458/etff63uNdOMDpeWA7HQxBsA
+BggEaYAe116bbjHsSky6EvOizYh577339rOR0ckLBAK/+c1vOhwu3eFrX+heoVBIzC6uqKhoampK
+9eEMLOLNi0AgsGjRolQfS7/SYV8GQoqOR45aOHLkyI9/9GN1uHR0IBaaeAPAwIAgDdCzErbpZuqk
+q0gksnz58g3r7c2QA2ROqYfoT544S69cuRLDpXuZeOmMonQv27BhgyhHl5eXoxzdjZJJ0Xl5eVu2
+bEGKjiWHS+/evbu4uFhsllYHYgnESWxikldElgaAfgbjrwB6XHttusWwK/E+vmmaTz/99C9/+Usi
+ysvLe+SRR1J91CkzatSoQCCwadOmBJfZtGnTxIkTJ06cmOqDHSgCgYCYf7Njx47bbrsNO6V7x5w5
+c5qamrKzs++///5UH0v/kWSK3rhxI0butSc/P7+1tfWVV1757LPPTp48OXXq1LgDseTWaGyWBoB+
+CRVpgB4U26ZbxGnZplu2Gdu7d+/dd99NzmLCVB94ihUXF4uKRwJLlixJ3JkMupdcWoyidO+oqKho
+aGgg5ZGHUxQOh2fMmJFkisYSgMTKy8vFjo8HHnjgmWeeEe8IC7KJt3z72PN7MNXHDgDQPdC1G6Cn
+JNWmOxIxTbOtre3rX//6yy+/TEQbN24Ur05g6dKlK1euTHCBgTBhO63IUt6ePXtycnJSfTj9XG5u
+bkNDQ3Z2dm1tbaqPpT9IZi4AIUV3RjgcLigoEE28t2zZcvrpp/t8Pjlfur0m3oTSNAD0F6hIA/SI
+ZNt0W2bEjNx7770iRS9evBgpWiovL+9wuPTtt9+O4dK9ZtGiRSJgoCjd02Q5emD2Suh2SabooqIi
+pOjkBQKBNWvWENGRI0cWLVoUMSPy91qCJt6E3mMA0F9gjzRAz7JfMXASryhi23S/+OKLP/3JT4ko
+FAphJ6TH9ddfv2nTpgMHDrR3gQMHDtTU1BQVFWVlZaX6YPu/QCDQ3Nz8yiuv1NbWTps2DUXpHtLU
+1DRnzpyWlpZQKCR2fMCpSDJFFxcX33///Xgm6ZTs7GyxWfqjjz46cfLE1VddLbZJyy3RuqYRka7Z
+ZRsUpQGgP0FFGqD7ybfb4zYYY866btM0Dx8+vOS7S4goEAh0uCt4YOpw/Ex9fT0GYvUaWZQuKytL
+9bH0W8uXLxdjxsQEbzgV9fX1M2fOTCZF4xm4a8rLy8VT9B8f+OP27dvFrzbLcn7ZMUtsaFK3OBGK
+0gDQLyBIA3QzdVG3+BjbYMx0/PjHPz5y5AgRrVixAnt94xLd1zocLr1kyZJUH+mAIAca19bWlpSU
+pPpw+qGKigqxcr6oqAgbPU5RfX393LlzGxsbE18MKfoUrVmzRjxFl5eXHz58WGbp2MZj4vLqvqdU
+HzsAQNdhaTdAd4rdGi02idmvJ9wpevXq1Q8//DARLV68GJkkgaysrOnTp1dVVbW0tLR3mfr6+lGj
+RuXn56f6YPu/KVOmvPPOO++8805tbe2YMWMKCgpSfUT9R21tbXFxcUtLS3Z29iOPPIJlxqdCpOgO
+eygsXrz4nnvuSfXB9m2BQCA7O7uqqurIkSP79u274YYbNJUYhaVrREQaceJxh2MBAPQ5CNIAPcJu
+r8I444xZ7gZjphmJmPX19d/73veIKC8vT/RrgQRESH7ssccSXGbTpk3I0r0jFAo99dRT4XB4x44d
+hYWFY8aMSfUR9QdNTU3z588XPcY2btyIJSqnIskUvWLFCqyf7xYTJ04Um6X37Nnjz8i47LLLNN2Z
+KR09RRppxEmcwHBpAOjrsLQboHuoEzLtNWxKgzGLuRqMHT58SCxFll1PoUOhUKjD5ZdLly7FcOle
+IP/eNjU1lZSUiA29cIrKysrEpCu56RS6pqqqKskUnXguAHTKokWLxN/b36xYUV9fJ37ZWaZlmabF
+LIsxObiCuL3pibDAGwD6MgRpgG6gpmh5Wm0wZpmuRd13l9/90UcfEVF5eTnqTskrLi5OXD5Ksj0v
+nLq8vDzxvkZtbS0aj526ioqKiooKSuIvOSRWWVmZzFQ8pOhuFwgEfvOb34jN0ku+u8S1WdqMNh5T
+e49hszQA9GlY2g3Q/TyJOjo/mjHG2KOPPvrHP/6RiIqLi0tLS1N9sH1MKBQ6cOBAgqjc0tJSU1Nz
+yy23YH9pT8vPzxc/i9ra2kGDBk2bNi3VR9RX1dbWzpkzh4jy8vIwgelUJNl3ECm6h4waNSoQCGza
+tOnIkSNHjhyZOXOmYRiGYeiSphORpmm6rkf3UGOBNwD0TQjSAKdKfTc9mp0Zs0d9iDfiGRPvzdfV
+1ZWVlbW2tuIVc5ddf/31otlVexcIh8MYLt07rrzyypqamgMHDlRXV8+ePRubpbugqalp1qxZTU1N
+gUDgT3/6E5aodFkyKToQCDz77LPXX399qg+235Lvr+3evfv000+/5JJLRGwmJy1zzj3hGV3HAKCP
+wtJugO5k9xhTitAWY5ZlRcyIaZqHDh0qLy9vbm4mIrkEDrpgxYoVHQ6XxkCsXqAu5pw/fz42S3dB
+SUmJaDCGrdGnYuXKlcmk6A7n0sOpk1uWfv3rX9fV1ZmmGTEjlnhHWXJ+UWJRNwD0XQjSAKdEbTAW
+22PMtCzL2SEWMSOrV6/evXs34RXzKRMviBPX7qqqqjqbpevr62fMmFFZWZnq+9eX5OXllZeXE1FD
+Q4OorKb6iPqSkpKSDRs2EFFxcfEAX2zc4a7mBJYsWbJ06dLEl0GK7jWyGWFzc/OPy8sjZkR0CbFM
+0xQNQ5zGY+g6BgB9GpZ2A3RdbK8Ub48x58VDJBL5yzN/+eUvf0lERUVF4gSciqysrClTpnQ4XFpc
+LJkbFPNy9u3bt2nTpilTpmCFbfLy8/PF5Jv9+/dXV1fPmzdv0KBBqT6oPqCkpEQ0GMvLy3vkkUdS
+fTipVF9fP3PmzObm5lAo1NnrLlmypMM3v5Cie9moUaOysrJqamo++/TTkydPXnXVVXJHtL20W/xx
+lnOre6SxwBsA+goEaYAuim3TTc7gK7k1WohEIg0NDd/61rdaW1uzs7MfeeQR7N3tFqNGjZo+fXri
+19A1NTXJDJeurKz81re+JWtimzZtmj59+qhRo1J9F/sM2QQOWTpJaoreuHHjQH5OkDOfX3nllc6O
+gk8mRYtHeOLEiam+owPLlClTRDOLV199NScn57zzzhMNxkSQjttpDFkaAPoWDQtpALrGtaibM844
+EVnKuCszEomYETNitrW1zZ07Vyzq3rhxYxdKLpBAMh2GEj/scW8hEAjU1tZiH3unyFRTUFCwefPm
+YDCY6iNKU54UPZD/mskULc9JvqV28il6ID/CKRQOhwsKCsLh8IgRI5544onc3Fyf30dEfp/f5/f7
+RENvQzd0Q9M1XdPVnmSpPnYAgI5hjzRAV3hK0GJfdHtbo++9916RohcvXowU3e2Ki4vFQOMEbr/9
+9vYmZi1dujRuDhcjqU9l3+YAJCNQbW3trFmzamtrU31EaaepqWn+/PlI0UJsiqbk4nE4HE6mnQEe
+4dRybZb+8Y/tzdLKfmlslgaAPg1LuwE6TV3UTcq+aM557Nbo7S9uF//KQqHQ/fffn+pj75/kwJX2
+LtDS0lJVVVVcXOxZQLtkyZLVq1e3d60DBw7U1NQM8BZQnXX99dfLNd4bNmzIycm56KKLUn1Q6aK2
+tnb+/PnV1dWEjBezn0K1adOmBGu8xZtcCf69C3iE00F2drZooPDRRx+JzdKMMdfSbo008q70FtdF
+XRoA0hyCNEDXuYZdWYxzzizGGBMp2jTNw4cPf+1rX2ttbRXDSwfyNsieJvNbexdoaWlRh0uHw+Gb
+brpp06ZNiW/2wIEDBw4cwNTZTrn++utFn6GWlpYNGza0tLQUFham+qBSr6Kiori4WEy6Ki4uvv/+
++wdyxhP7KRJ0Cty0adMNN9wQ26cgyRRdVFS0Zs2agfwIp49QKPTKK6/s27fv1VdfnTRp0tixY8Vm
+afHRTtG6K1QTFngDQF+APdIAneNZ1C3K0QIRmZZlmhGxObqtre3rX//6yy+/TNga3SuSeYUdCoU2
+btzY2NiYYLF3rMWLF4sJT5A8ddVuQUHB+vXrc3JyUn1QqdHU1FRWViaWcwcCgfLy8gG+zCGZvgYU
+r9V2kik6me0e0JvkZunhw4c///zzp512WkZGhs/v8/v9Pp+9WVoQ+RmbpQGgT8AeaYBOaG9RN+PM
+YpbSZ8wyTfPee+8VKRpbo3tHMhNuxFLtmTNnJp+iiWjlypUYLt1ZeXl5tbW14m9+bW3t5MmTxZLm
+gUaM1xYpOjs7e+PGjUjRSQ5498RmMSILKbovCgQC4ody5MiR7373uxHTNE1T/K5klmUxi3F7MZfn
+NyyKPQCQzrC0G6DTxG938Yvf2RdtvwKQW6Pr6+t/+MMfElFeXp7otgK9ICsrKz8/P/Fw6XfffTfB
+V9uzadOmiRMnYoJOp2RlZd1yyy1ih2RLS8u6desG2jLvDRs2zJkzRyznLioqeuSRRwb4fPKlS5cu
+Xbo0+cuL7gbTp08/cODA3LlzP/nkk8SXR4pOWxMnThRPBf/617/8fv9ll10WXd3tbJbWNZ2I1BHT
+KEoDQDrD0m6AZMXOu/IMuyKiSCQSiUQOHTr0xS9+8aOPPgoEAlu2bBngL517X9xWwKcumYo3xFVT
+U3P77beLn0hhYeH69esHwmSssrKy5cuXi9Pl5eWLFy9O9RGlWDLtuOMaNmyYpmlHjhxJfDFswUh/
+M2bMEGsKHn300UmTJvkdmIYFAH0RgjRAUtRF3XYh2rIYY6aY4mGaEdMO0m1tkUXf+Y7oYrVmzZqi
+oqJUH/tAVFVVdfvtt3f7zSJLd5m6TDcYDC5cuLC0tLS/xumKiorly5eLQjT+zghdTtFJSn76NKRQ
+Y2PjzJkzw+Hw2WefveHxx0877bSMDDtJGz6fz+fzGYau62KztKhXE7I0AKQrLO0G6ATuiDvsijMe
+iUT+8Ic/PPTQQ0RUXFyMGlSqTJw4cdSoUR025fZYvHjxxIkTE7f+rqurk62/IXlZWVnFxcVymfeO
+HTsqKiqampr62UpvsZZ73bp1TU1NRBQKhZ599lmsSUGKBiEQCAQCgU2bNh09evSDDz64/vrrNdJ0
+Lc4Cb3UaFoI0AKQnBGmAjrnK0XLeFWOiKC2HXXHO6+vr77777tbW1ry8vPvvvx9xK4Xy8/NFbEvy
+8itWrFi8ePH1118vJrW0dzExXBpZumtCodANN9zQ2Ni4b98+EafXrVs3aNCggoKCVB/aqaquri4p
+KVm+fLmI0NnZ2StWrLj77rsH+N+TcDh85513dpii8/Lyfv/732/atKkL/QuQovuW/Px8MaqwoaHh
+tNNOu/jii2OnYYkpWOo0LMJYaQBIP1jaDdCBxIu6TTMiuou1tUWONDcXFxe/+eabWMyZJsLh8BVX
+XHHo0KHEFxMdZeUi/GRG7KCn0SmqqalZuXJlTU2N+DQnJ6e0tHThwoWpPq6uqK6uXr58uexJnp2d
+vWjRIkQ7SnpaVV5e3saNGwOBQBe6GyBF90XyL8bw4cMfeOCBvPx8scDb5/P5fH4s8AaAvgLjrwCS
+oi7qZsxuMib6jJkRO03/YfUf3nzzTSIqLy9Hik45MSynwxRNRBMmTFDnk4n3QQKBQIKrJD/CB+IS
+A703btyYn59PRA0NDSUlJX1uRFZDQ8P8+fNnzZolDlu8I1NbW4toR51P0Z7THQoEAlu3bsVD3RcF
+AoHf/OY3gUDgyJEjd//kbtOMiF+jYhqWPRCL2XMxMAQLANIWlnYDJBJ/UbfFxG95uTtazLu66667
+iKioqOjuu+9O9YEPdKK01eGwHOHAgQPNzc3XX3+9PCcrK2v69OmJx2jV19ePGjVK5EDomuzs7OLi
+4lGjRr3zzjvhcHj//v3r1q3bsWPHmDFjcnJyUn10iTQ0NJSVlYkVKEQUCARKSkrWrFkzZcqUVB9a
+WkgyRRcXF99///1qch41alRNTU2CvRVCRkbG008/jfcr+65Ro0YRUU1NzcHPDvp9/ssuu0x3yAXe
+mq5hgTcApDMs7U5T6s+lyz8jz+8b/PrprKQWdbdF2tra2tra/uM//uOVV14JBAK1tbVJVlSgh3St
+XBy7Wrumpmbu3LmJr4WVpd2lsrJy1apVjY2N4tPCwsLCwsJ58+alVaJuamrasGFDbW1tRUWFOCcQ
+CBQXFy9atAj/6qXkU3Ts/ojk25Jhe0U/MHfu3JqamuHDhz/33HOnn356RkaGPwMLvHtEt7+qxE8B
+gBCk04f8QST4iSR+HkzyCQ5v6ybPNTjaYVqmZdpVaKEt0vbnR/7885//nDAtNg2cyqLr2FSczK1t
+3boVlbHusnTp0srKSnWXbEFBgUjUKWxI1tDQsGHDhurqas/K8+Li4vLyckRoVZL7nE8xRSe4EehD
+6uvrZ8yYQURf+tKXlv5saYY/IzpZ2uczfIbP8OkKQpBOTve+pKT2XzHiJSUMcAjSqSSrncmcb59J
+ypnq1zX1pP2urXp1+Vau53u1dz64UjRnnIlhV8w0TbmcW9SiDx48eNNNNx09ejQ7O7u2tjbVBz6g
+nfqUndgsvXLlyqVLlya4CnrLda9wOFxZWVlVVeUpaQaDQRGn582b1zsDqEXxecOGDWIitJSdnV1U
+VHTbbbdhrpVHb6ZoYfHixeXl5am+39B18ue+evXqa6+9NiMjIyMjww7ShuHz+XRDN3RD0zVdQ5ZO
+JPFLSoqXnJN5SUnxXlXiJSWAgCCdAu3lZPXJjivPb54nwcQ/MvVZzPVcFrPLKMmkPTB1alH3r3/9
+6wcffJCINm7cqPasgt4UDodFMfPUbyq2wtzhS/zs7OwtW7agMtm9wuFwVVVVTU1NTU2NJ5v13MJv
+sXhbFJ/FICspFAqFQqGioiLk57iSTNGx0fcU//Fie0WfFg6HCwoKwuHwlVde+cADD9hBOokF3oRX
+LESU3EtKEpmZx7lKhykg/gPe0UtKws8IBgYE6R7XQWB2n5bPa9xJ00TEOCOy3yxM8udlP3NpRES6
+povT0QmNzrNe3CdBzxPiwHwS9JSjRWuxuIu6G/Y0zJ49m4iKiorWrFmT6gMfoJLckxkIBMrLy8Wr
+9sQXi60w33777VVVVQmu1amGw9BZokBdVVUlN1ELOTk5IkuLhd/BYFCcKCgoSFy1Fou0GxoaRLW5
+oaGhqampqanJs6gkEAgUFRXl5eUVFRXhh5tAkik6NvQm+Y+3szcLfYhc9fOjH/3oK1/9SrsLvA1d
+LUrTAH59op7uWy8paaD+1KC/QpDuca53/sQf9dlN+SpjjJSnP/Uy8q1ELv8QEaf6+nrxwiUUCpH9
+RKf8dnHeMvQ804lPo7+NlHcW7a9qrtsZgM96yQfp2//9dtFjbMuWLahTpUR9ff13v/vdZFK0jMdd
+qDAn83Ifb6b0gsbGRlmmTubyhYWFRBQMBkV5uba21lNnjisvL2/69OkiQqf6HvcNS5cuXblyZeLL
+dDlFBwKBLkR06ENmzJghxkpv+uum0087HUG6PR28pHTOoXgvKaWWlpZPP/t0/8f7P/3s0xPHT7S0
+tJw4ceLkyZMtLS2arg0eNHjQoEFZWVmDBg8aOmTo6NGjR48efdpppxk+QyNv17c4LymV8IyXlNDv
+IUj3iLgtHDzxWDzH2c90xDnjsRGacVZfV9/U1LR37969e/dyzl9//XXOeXNz8+7du2O/7/Dhwy+6
+6CJN0y699FLx/BUKhQzDmD59evS5T7ffRNR13ZO0Y58fxc0OtAK1mqLFT6q93dHPPPPM97//fcI+
+vZTqcA8zEeXl5f3mN79RQ1EXKswdvujH+ym9SSz8FnOS6urqiGjfvn2eenUyAoGA+IshJpmNGDEC
+i7e7JvH7U11O0UVFRStWrEhm7TeydN8lRyTcfvvt3//+99vbKS1epYjMRgNms3TyLynV0ot8AXPs
+2LFwc/jgZwdff/31t95665133tm3b9/x48fbWlsjpim2rUlEJBbSi+5uhmH4/f7MzMwRI0acd955
+OTk5kyZNOvfcc08//fThw4dnDcrSxYwyzRlXRtFQjZeUMBAgSPeI2P0n7pTsjc0CswcVc855VVVV
+XV1d1VNVH37w4akfz/Dhw6dOm/pv0//ti1/8YnBkUCNN9O1Qn/vinFbeU6SB9Fai64fCmLo72jLN
+iBkRu6MPHjx4yy23fPTRR+gxlnK9VmFOsH4VS7vTh1iqEw6Hxc+3sbFR/MhEVJ4wYYLIyeho0O3i
+/ksUWyq6lqLVtmQd/jNH278+Tf58H3vssfz8fLFT2u/zGz6fulPaHjQ9kEZhdeIlpXK6ubn59ddf
+f/OtN995+526urq33nrr5MmT4gZ9Pt/IkSPHjBkz8rSRgwcNzsjIyMzMzMjMyPBnMMYikUhra2tb
+W1tra+uxY8c+/eyzA5980tzcLGI2EY0YMeKyyy676KKLJk6ceNFFF33uc5/zJmoNLylhoECQ7jZx
+2iG6V9fYJWjO5DuFIjzLT/fu3fvCCy/U1NRs3779yJEjntsfOnRobm4uEZ177rninLy8PE3ThgwZ
+cvz4cSL65JNPPvnkEyJ6//33iejYsWN79uyJPc4LL7wwFAp94QtfuOyyy8Q7jq4nPV0nzd4Do36J
+4j3r9ctnwPYWdTOLRSKRaDk60var//7Vn/70JyJas2ZNUVFRqg98oOtahXnmzJmJa5ix7YXjZulQ
+KLRmzRqkaABP3I0bbrvW3DuZ7I0s3Xc1NjbOnDlTdB1b86c1Gf5oUdrv9+uGHrvAm/pvlm7vJaX6
+Lj/FvKQ0TfPo0aMffvjhpk2btmzZsmfPnqamJk3TBg8ePHTo0IkTJ5577rlnn332yNNGZmVmicXz
+soubOEHOvE/OuWVZlmW1RdoikcjJEyc//fTTf338r11v7Prggw/EUnC/33/mmWd+buLn5syZM+XK
+KWeeeeaQIUPED6i9l5QUswhc3s1++XOEfg9Butt42jwQccY4OU9z3Gn+LJ8BRf2ZMVZXV1dVVbVt
+27Zdu3apNzh06NCrp16dl5eXn5c/dOjQuE8xsWfG/kDff//9HTt21NXVeXL12LFjp02bNnPmzC9+
+8YvijUPxy0kN1Qk2wFB/fNbzLJSSv0gsyzItS+6Nbm1t3bt370033UREoVBo48aNqT5w6P4KsxS7
+bt8zXBrDbAEk9V9iN6ZoIZkRWXhO7rvkTvvy8vKvfOUrmZmZ9k5pv99nRMnV3f24Lh1bhfbsefa8
+pDRN85133vn73//+7LPPvvzyyydOnPD5fOeee+75558/YcKEc845Z/To0YMGDUrw4i2Z15Pi2x05
+euSDDz7Y17ivsbFx9+7dnxz4hFksGAzefPPN11xzzVVXX3X2mLPbe0mp5GqNOur7DZD+EKRPlTs8
+2yei4Vms1ibnFOMim4nT27Ztu++++7Zv367eYG5ubn5+/tSpU0XlOc6zXqeeapQf7/79++vq6urq
+6nbs2KFeZPjw4QsWLFj8/xaPHDlS1+x3Je2137qma/YbivIZMHajS795+ou7qNtiljPxymyLtLW1
+RSJtbf/v//0/0fW3trYW2ynTRDdWmD1i917KLI3t8QAeIkvv27evyyk67j+rZFI0dlj0dQUFBY2N
+jWPHjl2/fv1pp5+ekeHP8GdEJ2Hp0QXeFNPvqh/o8CUlcWLc9aflZMu+ffueeOKJv/zlL+/veZ84
+DRky5Kqrrpo8efK4ceMCwUBGRobaUNb1/ZJ/2NSB09yuEp08cfLw4cN79uzZvn3722+/feLEiczM
+zIKCgjlz5txwww2nn366rHjLF5Tq1sJ+/5ISBgIE6VOl1jAppkGiWBscrUI7hegXtr1w36r7Xnrp
+JXk7U6dOzcvLy8vLGz16NHmeUJyGh9FzOnN4ntPi40svvVRXV1dfXy9WgxPR2LFjv/Wtb33jG98Q
+LT3k24e6rrs2VMdb7N0/nvU8i7o54xazLNOy7NnRZltbm1jX/eL2F79Z8k1CiEo/3VJhjit2SPjS
+pUsnTJiA5kYAscQedc+bjD09Igspuh+QXcf+/d//XXQd8/v9GRkZzkZpw/AZhm5oukZEahPvfvY6
+pL2XlGIlo3hJefz48V27dm3cuPHxDRuajxwZOnToJZdccvXVV1944YUjRoyIO3Pb8ygl/6C5Xkwq
+vcGJSFQdPvvss507d+7cufPNt940I+aECRO+/vWvh0KhiRMn+nw+dSOhuva7H7+khAECQbqLoiOo
+XIOsOOPRTg/yKU+1d+/en/3sZ3/5y1/E7QwdOvS222674YYbyD2pz35CUda9dDZOxz7ryfPFJ+L/
+6+rqnnjiCdHzlojOPvvsO+64Q8Rp8SvKfvpzNycTK3M8T3+eI+xz1N3s9sIBp8eYPfGqzV7XfdNN
+N4keY57+VZAOTrHC3B7svQQ4FZWVlUuXLkWKhg7JhhfPPvtsbm6u3+8Xg6WdqrRdlCai6IJhZ/dZ
+H9XOS0pSe9CqLykty9r3wb41f1zz1FNP/etf/8rMzJw6dWooFMrJyRE7AWNfT9rznyl6Tnufxjm8
+dl5PipHU9lc5McYONx3evXv35r9ufvPNNxljF1xwQXFx8ezZs0eedpqhJ3hJqcnlBdRfXlLCAIEg
+3UVywIAdSN1vGloWk896crTA3r17V61a9cgjj4hbGDp06PXXXz937txhw4bJ0fbkfk+Oc95eJ4bO
+PfG5f8qeZ2pOvO61usefeLy+zn6lMnbs2HvvvXfmzJly/oHc7iKq1LHvJKrDqPsczzak9gZHi3Xd
+v/rv/37wwQcJo1bSWNcqzMn0BK6trcUrdYDOSuafJJ3yiCz82+wfGhsbCwoKiOjKK6/845o1YnV3
+7FhpIvJMlu6jr0Coo5eUjEUb65im+cknnzz77LMPPPBAQ0PDiBEjpk6dOmPGjLPPPtuf4Rd78TwL
+uWMfmVMK0sqac/EyVb6SFH+YxVpaWt5+++1Nmza98cYbbW1tkyZNWrRo0RVXXCHq5PIlpaZphqH3
+v5eUMKAYP/nJT1J9DH2PuvZGpi9l+bZThbaY6FN1+PDhH/3oR4sWLXrjjTeIaOjQobNnzy4tLb1y
+ypWZWZni/Ti7K4Pz5BJdAxNzQot92omrnYuRe1uRCPCjRo2aOWNmcGTwww8+PHbs2JEjR5544okd
+O3acddZZ48ePJyLiJJ4xyf2Uqmma+Ey+cdjnnvg8XeLErzP5pq/4aK/ujph79zb8/Oc/b21tDYVC
+v/zlL1N97BBffn7+qFGjNm3alOAymzZtmj59+qhRo+Q5119//YEDBxK8ZG9paampqSkqKsrKykr1
+XQToM3o6RRcXF99///34V9lvBAKB1tbWV1555V//+tc555xz3rnnRSuZWnScEjlVVjUo9rlXIJTo
+JSWXLynFKrmTJ09u27bt17/+9erVqw8fPjxt2rQFCxbMmjXr9NNP9/l99otITSl6xHzs+uvJmBeQ
+sdvU5U8nIyNj9JjRBZcVjBs3bv/+/fX19dXV1QcPHhw1atTpp59OFLvjmssfX19/SQkDDSrSnRZ3
+40o0Q/PoWm6LWcxilZWV99xzT3Nzs7j6ddddd9tttw0fPpzc0+o9H9UFOYL6y6OzRyuW36jnECnv
+HyrvJhLRs88++8QTT8i90zfddNNvfvOb4Migz/DJJ+jY52VPUE/1T6lzD5G61Se2x5gcHN3W1nb3
+3XeLfrBbt27FKt8014UKczIv3PPy8rZu3ZrqOwfQNySTottr7n377bcn7h1I6JnfT8nOkWPHjn3u
+uecyMjLkWGnZdYyIZOOxvluUTvCS0vN68uBnB//0pz9VVFQcP348Jyfn85///KTLJg0dMlSNtXI5
+tKa214l5PSnfgOjsocq9gerBexalk/J60jTNQ4cObd++/S9/+cvhw4dzcnO+t+R7hYWFw4YPE33j
+1NeT/eMlJQw0qEh3gmsBsDLUSu0iJquXlmUdOnToRz/60YoVK1pbW4nouuuu+8EPfjCzcGZmVqbr
++ULXXE8i9gc9+tF5l1HTNdKINBJtNjp8H5Gc3yui4m1HcRnINXc4d5aXn3feeXPmzPH7/Xv27Glr
+a3vvvfeefPLJgskFZ555JqmrepQQLm6BE+9yU7QU/kxdKdre5M6YZS8oMOUOaTPy0ksv/fd//zcR
+LV68+JZbbkn1sUMHulBhzsrKKioqqqmpOXDgQHvXOnDgwIEDB66//vpU3z+AdLdy5co777wz8WUS
+jMiS7+e2Bym6v8rKyho1alRVVdWRI0daWlqmXDXF87pILJHT7BI1UR/s+dzhS0r5zr5pmjtrd/7i
+579Y99BDfp/vC1/4wq233jpx4sTMrEzZy8ZVP+7w9aTzuCX1MlJXXk/qcV5PRl9Kqq8nnfOGDBky
+8YKJF110kWmar9e/Xl1dfeLEidxzc4cMGSIfAU3T+sdLShiAUJFOlndfsWj9wO12heqOaOG1utdK
+f1Aq1nLn5uZ+61vfEuOs7I09umsfS5w90rounjNczx1q37FkjjnaYcx9Lyj6/qe4mHxbMXoO40eP
+Hn3wwQfF+thhw4b98Ic//Pd//3efz2e39Y5ucTFi30ek2INPS1x9HJSRV6ZpqoOjRTn6lltueeut
+t7BRtg/pWoW5sbFx5syZnW39DQCqZKZVncqgafwb7Pfmzp1bU1MzfPjwRx99NCcnR3TwlmOliUi0
+g459EZLqA++YZ0OZWoj2vKQ8fvz4X//611/96lfvvffe+PHjb7vttosuuigzM9NVjFGq0ESu15N2
+2iX7fPvbd/LFJMnXkzFDsDgRZyx6X9yvJ0U8Fp1cjxw98mLNi4/++c8nTp78txkzvvPtb0+aNCkj
+I8OeDe59SUmaFl2zidI0pC1UpJMSm6JdHbm5vfJGVC8ty1q7du3ixYs//PBDIrruuuuWLFkyevRo
+z/KV6PuIzluG9vmGM2BP925HURseJvOfuGh0DY94htVd7yyK/7eTvBzux0nTtazMrCuvvDIYDL71
+1lvHjh3bsmXLRx99dPnll2dlZtnrdjQiTXlijddXPJ2f+NQfKBHZ7Tw4F2vyo+VoMxIxzYqKCtFr
+/Z577pkyZUqqjx2S0rUKcyAQmD59elVVVUtLS3vXeuWVV0aNGpWfn5/quwiQjno6Ra9YsWLx4sWp
+vpfQs6688sqKiorW1tYDBw5cd/31yosWuyItBhETkac3VTq/8CDPKujYIS/yJaVlHjx4cOXKlffc
+c09TOHztNdd885vfPP/88+U0KZE/7ZdvRrTU7Hl5Gft60tAN2ZenU68no6/r1NeT6kZrtTjtJHxx
+MJkZmbm5uRMnTjx48OCOHTs2bdo0duzY8ePHG4bBnY3RyktKLe7PNM1/sjAAIUh3wNuGSu1H5Z5s
+ZS/qtsyf/+Lny365TCznvu2224qLizMzM4lIN5zUqmxfkUuv5QJvjTTxnz2qPqaVt/hq7BQ+72oc
+0tqLtcq3iPZHbO/p6dzzzs3Ly9uzZ8/hw4d37969bdu2iy+5ePSo0UTe2Bx7C2n+W83z/kiCHmOH
+Dh0s/UFpa2trXl7eb37zm1QfOHRCVlZWKBRav359glRcX18vGsj9/+z9e3wc1X3/j7/PzK5ulmFl
+4ws3ey1zMwbLIBMuhsg1IoQ8kihxYpr0glo/+sFJmlqN+2nxL02dVJ82hX4/8ecr0rRfaGsQTUiC
+iYmSTwgGYxAQJ2DLtkgwYNmKTMAXCmhtydZlZ+b8/njPnDnnzOx6La12Vtr3M45Z7c7OzsxaZ17v
+u3hm7ty5yWQSR7BkYtu2bWRLE0SQ9vb2f/7nf86+DU6ruuKKK+QnOzo61qxZM4YRWcSURHQd6+3t
+raurm3fxPLmqlnMuiyiYDKHLLJJSyet2HMu23n333X/5l3958MEHDcP44z/+409+8pMzZ870bVTm
+p1ULhwKTBkuBJxdlPamoxLPXk76oA+UlJb8StSEHBkxLzzZMY9Z5s6644orR0dE33nzzV7/6VSKR
+uOSSS2KxGGjhcebP6woqyaL9fokShAzpbChLnpevIjpA2I7NHW47tm25Ftf777//qU9/6smfPQkA
+c+bMaf1frbfcfIvrzPMXNs9gxr+VQXq+ba1FnrVOjGPE21VIYjkwxhgH7h+jtKLNmDHj5ptvPnbs
+2FtvvfXf//3fP/3pTy+66KJLL73UvUSBWX9it1DETsTg/Uw4g/E7tWwMRqfT6fS9/3Qvjtr+t3/7
+t2QyGfWxE2fH2CLMV1xxRfbW34lEoqmpSbMECIJYunRp9vYEaEXLPfMBoL29/Utf+lKWX1KErOiS
+oq6u7pFHHhkeHt67d+8f/MEfyGakWyNtqFHQyWBrhUpKf9iLZdu23dvb29ra+oMf/GDu3Llf+MIX
+PvzhD1dVVWlNtsEL9vqmNSiKD/+D8WdNTIq/xywmfT2pBofck/QqFjURyBirrq5efNXi6dXVe/fu
+ffbZZ+Px+GWXXVZWVgaSpJRbmgUlZZF/v0RJQYZ0RjI5DqW5flyk39iO/f4HH/zB5z//m1//BgCW
+L1/+ta997fzzz2fqaKvg+iUyuuVFR1qsMix5fv1IZuT1VBoa4S52aqAbAHyHpXYhGDDGysvLb775
+5ng8jrG7n/3sZ7Nmzbp6ydUcuMj2AXVpY0XsRAwOmQBM7Q7rMfbqq6/+wz/8AwA0NzevXbs26mMn
+xkKOEeYrrrhCNoyXLl2K8ZDgxpiVqk2iJggCydLqD61orc3EmEdkEVObioqKRCKxbdu2gYGBeFn8
+2muvFdKIcy7HIoRtWYSSA3KQlMKStmzr4MGD//RP3/zJT34yd+7cL33pS0uWLInFYpn0pCLzpO5i
+QgqKcsKMejK7kjQy68ng0Bnvf8GESgzVIGXxstra2qqqqtdff/3ll1+2bXvx4sXlFeVCUmoBcChu
+SUmUMmRInwG5pbO72HG3FYTozm1b9gfvf/D5z3/+N7/5DXhF0RUVFUpVc3AVMwILk7QymqYZfEle
+9YwzLH66Ze7nccvbgD9Wy2AGugBDduQtWIuvWnz9h65/6aWXRkdHt2/fPnPmzCVLlohrpa1u8qew
+Io5LizkT3OG2m9FtWa4pbVlp66tf/eo777yTSCQeffRRGlU6eTljhBkAOjs7teHSDQ0NQXsgNCuV
+IAiZUFt6zFZ0IpF48sknqVt+CbJ06dKXX3758OHDr7/++mdWfaayshIVlNe120CdBAHVUZySQzzQ
+TGhRHvj6/te/+tWvdnZ2XnnllV/60peuuOKKWCwm2nFpTXZE9iJqObGBPA7Gj9wYAeWYu56UGnSD
+KvP8ByKrUgzekjp+a++KxWK1tbUXXHDBb37zm1/s3JlKperr68vLysHr4828MvjQj4OilJRECUKG
+dDhaKwjXiuaOG7TkXiDatm3Hef/99//gD/4Arejbb7/9z//8z2Vvn8h89oYOeJnV2momr3RigYOQ
+SPUZlzzNVGZ+HbRUZS0dn78ZB6VuWoMBY2zmjJl1dXVoSz/77LPnnXfekro6EGUw6txCKMqFT8nl
+5q5/BABsx+aOIyxoy7LSlvXDH/7w+9//PgD8zd/8zYoVK6I+dmJcZIkwI8PDwx0dHU1NTbLQv/32
+21HG4Y+hWakEQQTRbOmmpqbNmzdrVvSYR2QRpcMVV1zR3t4+MjKSSqUaVqxwa6QdjkIJNZWoj4Pi
+C1pmkpSY2Oj3N7Wtt99++++/8ffPPffckiVL1q5dm0wm5RiyrOMUkRlAH4sl5l3BGPWkflVxV0a4
+nnRhEPJG8RIw0zQvnnfxBRdc8Nprr3V1dcXM2KJFi8oxXCHVWrtjX4FsaaIYIUM6BH+gPAaiQU28
+8YpY3GHR7733h3/4h9msaNlUBj8rJmTtM/xFTbzuOyC1apac87pD1jimWLzy8iTs5+DyJJ6ZMWPG
+0muWClt6YW3tZZde5ha0qH283f1xKeGnaBY+7ZYGAI7teBFp27btdDr9/vvvtbS0jIyMJJPJzZs3
+R33IRB4IjTDL/P7v/35wSPjtt9+Orb+bm5v/9V//lYafEUSOXH/99fLvjpbU097eTlY0cUbmzp2L
+6/brr79eV1d38cUXY6tnLx5ruOY0gKg1ky3AaDmDpOSOG4m2rCPvHNn0rU0/+9nPLrvssrVr186b
+Ny8khpxB2mXJeQR3YnTm6ujx6Um90xhktJ9lQxq8RmVz586dPXv2r3/961deeaWqqurKKxfFzJgr
+I4OzYIpVUhIlCxnS4SjuQ3Qdeguf7di2F462LOsrX/nKzp07wbOig6axZgnLqdTeHUDK/VZ7j2lp
+OZqxLaZbBf/ItxB99ZNTnlRzHsDr8hgoaGGql1GOS7/00ksfveOjaFowryrG+xT3vwDhy2sk36w8
+w0zMu+Kcy9XRo+m0ZVnf+c53MHq5efNm6jE2ZdAizDItLS3f/OY3g8/jGC3G2De/+U1K7yeI3MHf
+nUQi8fWvfz346hnbkpEVTSDXX389dh07dOjQZz/7WWDMcRxPO7nmYhGOwtJi0RCQlI7joKRMpVL/
+z//+f77/6PeTyeQXv/jFBbULfNNXUoayLBRxeC+93VOGaklglupoX2pmEpNyeWDmpm5By1bRjWK6
+tWyHe1rRNM0LL7xwxowZu3bt2rlz54UXXLhw4cJYLIYhbzXYA0FJSYY0ES1kSOuofSAcbK1o224e
+jhiJhH9/5zvfwVGZH/nIR7785S+L5SbchSi1l9RWNDnpOjwVh/nvcithsrZbBG9dk2dCcOBuLJp5
+LkZQljnwlih3YfIacuseQQ4AMGPGjEsuvWTHjh0jIyMv/+rlP/j8H4hGZdKSmmHBLYJ7mzvDzAM4
+iC83nU7bltX72957/uYeAGhqaqKBpVMMEWGWn8w+mRbHaEV94AQx+aioqLjhhhsyvXrGtmTUjIAA
+APRgdnZ2vvfeezNmzrj6qquwklZrQ8PUUVjRGlrBedFeXTQX86JRT54aPPXwww//x3/8R3V19Ze+
+9KVFixZpGk/7EUPMqBgNNQAdzGeUZ2XJkRiWG6CG95k65ko5JiQYl8aERcMfnaVdKMbY+eefX1ZW
+9tprr+3du3d+cv78+fMNwwDulg3iyXIuLGfuW9RkSBORQoa0QqCbIjgqIu/Xsq3Ozs6//uu/BoCF
+Cxdu3LhRLFihVrQ7IJoxw/Rj1GKB06tZGDNNM9iWUV6z5MPO9AzTnYgGpvdofbbl7YIJ58DDPxQY
+nD/3/Hg8vm/fvvfee++dd95pvK1R3qG8fdCWjmTtU75f4HJiFefcHXnl9ev+q7/6K+wxFizqIyY7
+GCXD+AYAYCe5pqamqI+LIEoRkf4tP0nNCAiNG264Ydu2bcePH9+3b99nPvOZeDyuWJHyKCxDESHF
+YEvr3bm9/EbbtkdGRn7y0598639/q6ys7Etf+tI111yDPbq1YVeqMmPMMEw5aTEQtRbiU27zrYjD
+cepJL+kSAtFmAH38lWEYrjkd+l0wMGNm7YLaWCz2q1/9qru7+4Ybbph53szQ6VlZfiSIwkOGdDja
+kucOjrZFJYv13vvvfe73PzcyMlJdXf2//tf/mj59euh65/djkC1qdfyV9kY/aOwb2kzbWHtPqGcx
+OMSPqd5EkD4HAguTAnO351JTMTSwr7zyyrfeeuutt97av3//jBkzlixZwvxwtFfQAiEeyqgMaQiE
+o/Frdduwp92RV0/+/MmHH34YANauXUv21ZSkoqICh0tXVFRs3br1+uuvj/qICKJEQceWbEuHNvcm
+iGQy+cMf/nBkZIRzft2HrpNDEYrEkqw7KAJDy5WU3BGBaKEnbdvu6en52t997fjx45///OdvueWW
+eDweHNTCRI6hKA8MjEQN1ZMhk6WzzMoam54EfciznMnob8mkLYFpehIAYrHYvHnzjhw58pvf/GZw
+cHDZsmWVFZXBCkRtt1HpSYJAyJD2CQ7385c8x7GxnbNt2ZadTqfv/h93Hzp0CABaWlquuuoq8Jpy
+K4hZBV46t17w7K2MhupWFM0nxUohOn5nWvtyAfOgQgtd5JWIBaPH3oIoh7LBWxmXXrP0pRdfGhwc
+3L179/U3XD93zlyRiiN3DM+4/hbw+5W/aBGOxglmnHORsZ9Op//iL/5iYGAgmUw++uijUfxjJArB
+3LlzV6xY8Td/8zdUAE8Q0SLb0mRFE5lIJpNYCLBv376P3v7RRCJhmqY7CUUEKTKX8haSkHnR2KXb
+9iWlbdvHjx//h3/4h927dt98y82f+/3PVVZWujJPawcmJCJI2exnryf1N+auJ0ODw+Etw5lsJ+sS
+FNwwjKYnAQAYlJWVXXzxxQcPHuzq6qqoqLhq8VXxeFxM0hKSEjKEpgmi8BhRH0CxoPSgEpMJ/EC0
+JZJwLMu675/v++UvfwkAn/nMZ2655RZ/vl4gB0arZ5YLQ4StrT0p934Qy9zYLGcdQ7LtDWkVEz5E
+r18FBLx9zJswoXtDgU2vnr5hwwYAGBgY+Nuv/u37779v27bttcAW9qq4nnLVUHHy+OOPv/POOwCw
+cePGqI+FmFjq6upIrxNEMYB9xVpaWsiKJrKwbt06/OfxyCOPRH0sGQmVlA73qwRx4tWpU6d+8MMf
+PPfcc7W1tas/u7qqqsqfpqKVeTMABlowBqT5pkI04vshqCezJIqPU08GrGImpQnITzIp89xNdZRE
+Mm5z8cUX//7v/75pmg9tfuhXL/8K3Q2uqLQt27Y1iQ6SqyLqr50oRSgirYNZvyILhzscf4HFbOHO
+zk7sPrpw4cJ77rmHiZ6KUhcx3+4FxgxmGiZTfYe+y9BgckELeP5Cbxd+727Zmg1NxYFgg+7wptxK
+kBlAzvD23Zb6S4zhxfGaiHkTCAAAYMbMGeVl5VgsnU6nly9frp8LY+JjtabihfxmQU434G6mAU5v
+xBrpdDo9mk7/1V/91cmTJxsaGkLbzBIEQRATAbb0o8b4RBYSicSJEydefvnlN95442Mf+9g5555r
+iL7TaqA1aHBGApp83BG53V6PMct+5ZVXvvGNbxiG8Wd/9mdXXHGF2xwH/wdSArbB5MCMZk4bhmF4
+TXXC2/RIYWftjSEGcgY9qT/pKToWiAz7zweyEQWotN3e3Z6eRJHGDDZn9hzHcfbu3fvb3/52+fLl
+1dXV8h6UvH0GOPYs8m+ZKFkoIg0QzMBxpDZUjo1Baczrtizrf//v/w0A1dXVrf+rVW5SKKxckO1V
+73deRl6SlPFXEmKdUm4MBmOMmX6DibCWEhKmYbrv0tqWQcj2zLsVgbpWSscdYgOLzT772c9+9KMf
+BYDNmzf39vaKNGl0H+JlRMcEehML7D4M5nWjPxMzrNw/tm3b9g9/8IO3334bAKg0miAIgiCKjbvu
+ugsfbN682fYilt6tXMR/HVlmFFhvKOFoxx+eKvSkbdsffPDBv/7bv504ceJjH/tYfX29YbpaMagn
+hZhkaoAXJA3GpHmrurqDgJ5kvizMUU/67glZT4LUOVxVkuG11tLB+znnonjQ22c8Hv/oRz9aX1//
++uuvb9269dSpUyi/fT3pi3Q9fz6qf5NEyUKGtI9SxuJFLP0+zpZlWdb3v//9/fv3A8Bdd901vXq6
+MCxlsxlHKSvLVnDEn0iiludOSYk3rotRchDKI6lDl7ngUijeJQ/QEna4tDYr/wzEkShlNl7WkOv8
+A2W2IfKnf/qn6Dj826/9rbhiIidHXFWRjVPgL1f+irFlNxcZVhZmDNmWbT/++OMAkEwmm5ubo/4n
+SRAEQRCEgrhBP/HEEx988IHlde7CFDO3pZd8w4+imkyvE+SOXCE4MjKybdu2rt27L7vsshUrVmAs
+Wis4Fk12QM1VlIWi/6M0VUoLS6Oe1IPYZ6kn/bdIU1q13eIRiysgOqhrmlORjiCdiCSnE4nEHXfc
+UVVV9aMf/eiNN9+w0r4Od79oSVKS/UxECBnSqu/Qy8ARkwlEY2c0qP/jP/4DAObOnXv7R2/HtzOv
+QAVH5Om+N7U5tuaiA1CXTc9rqL2kJM9IVSXZybSZaMEtr2LCQpafV36U3wjeDEP58BhMnz4dg9Kv
+vPxKZ2ensKL9kmnHAe4mz8tXvgBfsfxdy+Fo/HJFqtUvXnrp9ddfB4B169ZF/O+SIAiCIIgwxD36
+oYcewnCHuJtHG5TWpmz6I0JsYUfbtm0fOnTokUce4Zx/4hOfEDPe5EGk4EU1/Jit1DpbM0R10aiq
+v0wtwXIUk8Et/cJmadfycBbGAgJS/hGUfQo9Cd68aAAwTXPp0qU333Lz22+//fBDDw8ODlpegbT7
+RdtekiN3NI0X8T9NosQgQzp8JJLI6xZJOLZl/8BL+l21apVehyKSXABAcgf67Ra8lUJO29ZSa+TA
+tfySG0M23Y3EIOjsZEzy0YpoAseg13t7xTn+56pBbCbtcfXq1RiUfvDBBy0p4QrdhyInB81pKOCN
+DQD8lHIpHO2PNLMt23E2b94MFI4mCIIgiCJGC0rbjoOGFqZ4a0Fp0ZKqAAemS0qvQtDr123btp1O
+pzs6Ovbv37948eJly5aZpikrN2FsovkcMvcUWHY9qUV9xRsDcjAnMcnUjEghSvWdyy1/5FRwOeYs
+9VR3P13Wk5IoZYzF4/GPfexjM2fO7Ozs/OUvf+mmDaKwlLK7gSt53WRIEwWm1A1pxY8Fvv/S4Q5m
+j7i1vrZl2/bm/9wMAAsXLsS4q9xrwS0UkX1y0vho90fRbctQKmFYmF9QThoHAJFUE0ze9pfOwDNy
+yYr/KaDsXzsANzFdnpHg9YoAqYJFnLK/3jNgjImg9K5du1544QVLJEx7trTbb0P1IBbmKwa5YMkL
+R7veTcu2LfvVV7tffvlloOpogiAIgihu8E49MDDw+I8et6y0bfl3dS0oLd5SML3hSkpJ8zh+22l7
+//793//+96dNm7Z69epp06aBlvoHbuQFd6XYotJQUiH2cDNZNGYyj+UW2WPTk4h7iBk+ItghyA/h
+BFId/Qk3AIYkLHGbCy+48DOf+Uw6nX7ssceOHTuGktKyLKzI82fBAJdj0WRLE4WEDGnFd+iPF/YW
+ZLfNWNr61re+hSORmpub/RVAVAurRrDvnUPXoRh/5U01MLxYs/9eYIwZEHAoBttLhJjKUkmztgiC
+aGPohZS17bXulsJgF++SDXv0AmjRbHnhBgZ/+qd/inlK//7v/45lLSIJx3XLYvvKQrkPg+Fo25Gb
+i9nCjf34lscBIJFIUF43QRAEQRQzDQ0NDQ0NAPDjJ37sOe39oDSm/xY4KK1LSsf9aCGBbNseGhp6
+8udPnjx58pYP35JMJoVU84MZQSvYEPNHWVDghaQ0Cg2qSUQmNcQdh570j1MWk2gsy5WM3kt4ceTP
+Uluo6ScsJGgsFrvhhhuSyWRXV1d3d3d6NC1ajsmSkoLSRISUriGtua98E5r7Labdehvb7u/vxx5U
+dXV1S5cuDTFTQSpd9uK0nmdR8r0ZAW+c0qjMKw9hmRc4aZWRd6E5B7W+DiKgrXsBZTMapHcEYuZM
+dXyC6hP1vYzAGGOrV68GgN27d//gBz9w72m+Me1e2MK4D0PD0a6P2DsS27It2+o73Ld161YAaG5u
+phGmBEEQBFHktLS0AMA777zz+OOPW54d7Wk5f+JUYYLSQUnpH4zfaMzu6el5bsdz55577s3Lb66o
+qGCqBgMp29HPEBShXdXgdJ+UBKKsJ11ppipDOZoyFj0pi1I5cdIN1Siy1t8AkZUtkzrueJa5aMQD
+ksU+e/bsFStWDA0NPfHEEwODA0KWy43Qg7NgyJYmCgYZ0upgYcdxbIc7XG7Xbdv2f/zHfwwMDADA
+Zz77WaZ68mQjk0EGb6K/dkh1I966qXZX9OpGpFi0v94x3UEYWgKtvCT2pvUSYxm9gJnwE3Bkm1tN
+FkLuuOMODEr/53/+J7ZY9MtasKYFCuQ+1Jt1c+4egx+Odv+DefsgzdUgCIIgCKJoaWhoSCaTAPDI
+I4+ISjI/KO04tmPLAgAKqDcc7gCAbEVjs+4XXnjh4MGDS5Ysueyyy7LLLVllZWoYJizVoK7zWuq4
+j/EgRZb1GPWkV/zs7o35e5NlpC4vDcZYVnHMRBwJjEBi+fLly2fOnLlz585fv/prN0vUa97tCnfu
+FOxbJgiNEjWkNfekH6sEd7AwdwPTNs76+9GPfgQYjq6r8xcRpv7Cq97E0JUOcI2Qi6vF2meoS6e0
+hobuMFjHkv15f43TnY9+lo57RUS9tJbgHYhO+9XggTPFoPSRI0e+/4Pvu8MKbH+aohgLMaG9FkOa
+dXM9HO3YdtpK9/f3i3A03pUJgiAIgihysBTrnXfe2bZtW9pKuwlwclCaF6J9d+hwEJHqKCTle++/
+t2XLFtM0f+/3fg/D0dqw6KCeDCo68RbR/oYFbGkl4BEcIj1OPant0Ou5HQxEi+1FLEc7Wn9L8Pfs
+60wGAJCoSdx+++22bf/0pz8dHBwULWwx6MWBy9qSgtJEgSlRQ1ogubC4F452hMcLQ6n/+Z//ieHo
+5uZmf/6T54rDn4PWpOw+BG2VDDOPFQMXfK+eWKrkFVPL3Fb+SBuHr4lS2Fw35sUSKU3/05c2z68Z
+apAL7rjjjksuuQQAHtr8kLiSorjFtm1txuMEfbkgV0dnCEc7tvPwww/jW6g6miAIgiAmC8L9/cQT
+T2D0I0tQeqIrpTVJKQSPkJQ7nt3xzjvvLKlbsmjRInyLMqcKAnpSjf1qE1VDxKQRUGVCTwas4rPS
+k3pDXG9vhlcXrexcU6CGoidBCEip/618VL6ngBmMsXgsfsMNN1x44YW7du3av3+/Liltr0P7xKtK
+gghSioZ0MBDqtr/ywtFuAjJ3Da7t27cDwO23337JJZf4LazVQg5Q01EA/MKPEIM2LOlFmM1it8EF
+i0mmtZy5rfwxjOCiGepWBADtYLKtg4FTk98orq14zLxK6SNHjvzm179xAsiZOKBmeufrW5YfZw9H
+/+QnPwEKRxMEQRDEZAM94Lt27dq5c2f2oLR4S35traCSQUmpyZ7BU4M7d+4EgJuX31xeXh4in5g/
+4UVIPsiqJzNJNb82UHt+zHqS6XpS3jkE9GQ20atoSkVPyoFrHwYXXnjh4sWL33vvvddee210dNS2
+bVGMaaMV7fBQVRn1v01i6lOKhjSi/b6JcDRa0qIG4/Dhw9is+5JLLpFXPX+gvDzaKtALUX5GsbTV
+EfZMSs7xWhr6HQ4VQ9fQnwxftsJMaLEg+i8pvca8kQvSau4fD561fOQe8moon/stt9yCzzz11FN+
+TYvUe2OCFju/b5zjWJaVRqz08MjI8Mjw0NDpIfz/0NDp06eHTg/98Ic/PHnyJNDUK4IgCIKYbDQ1
+NWGL0C2Pb0n1p/r7+1Op1IkTJ06cODFw8uTA4MDgqcGh4aHhkeGRkZGRkRG3aYtnb+dLgQQlpT/8
+xbYsy3rzjTf3798/b968Sy+91C+dA19TadFpWU/KotPXdd7wUVDlmWYnZ1SGZ6UnDaU2MFhEHSJu
+1dpA8AJOAKDF1sU1FCelZnWy8vLy6z50nWmanZ2dJ06c8HsYOTaXLjWZ0EThKUVDWnEcei5DnPiH
+MxPccgvbsW376aefxnctX74cH2jrlFvbHDahCrdQzG+1JlksLizgsRMroGJRG7qXMUtzCP8ApCFV
+ynFrSAXPwWizcsracilN2FLOl8HNN98MAC+//DLesZR+ENKVn6CaFvFB6B4GzoGDO+RMuos++eST
+IA3SIAiCIAhispBIJJqbmwHghc4X3n77bdur3/IkALiTqJyQmcN5wVeVqqSUA9TpdPq11147fvz4
+woUL58yZ45dGS/NElTAsoo4gDTE7ZYM50HBbFpSaCDxbPWkwQ5aOflq4nmGpHomhH7m+R83q9nSy
+PA0br8OiKxZVV1e/+uqrb7/9tp/R7XC3WhpCVCWZ00QBKDlDWv+98tZU/E1EY1p4K6209frrrwNA
+XV1ddXW1tnzgDkTkFsTvv/fY21r1FGZI0dELRYQBDMpG8pooB679pUlKxXGbTGibZG4sLjkb1WMD
+EGehnYiPFMQWG1xzzTUA8Oabb/YdPiycsm5c2nb8m1yWL+gsv1wtq8dbZx3LmzBp2VY6nUZfZjqd
+fmLrVsw4wCkaBEEQBEFMLtatW4dB6UcffTQ9Oipu8Xi7F11R3L5f6rSkcVaWKW9UJaUse06ePPnC
+Cy8wxq699try8nJlxpUIR4NvUmqWp1s5HPpq9oiyESb6PGWoKMaAKNXyGbUoMQAEdy4nVwpVCcE8
+TWnwlSyM8Spquhqfnz59+i233DI6OtrZ2Wmlve/UsoR0D1WVZEsTE03JGdK5gHFp27ZTqdRzzz0H
+AHXYrFv6hXd/NeWmCF4rL4T7m/hLmZq4469iAHLg2TBMA9cRzSlomqb8EbLHTjk6aQv3LX5ijjql
+QA2Yy/lCyqGLTYExkE5NTu+REtTFuoWGNAD8cudOf5q07eBYiGLgBz/4AQAkk0kKRxMEQRDEZCSR
+SGBx1s9//vOjR49GfTguDnfE/Jdjx4/t2r27urr6qquu0jKcuRSGDSpDAODARcJzULwJ+1ZvsmMo
+Ck2Tf6449LYMicowJVTjvkXdg2aNy6ayFgOX9aQvMgFkq1ervsZN8GVUs8uWLSsvL3/55ZdPnjzp
+dZOzo/6SiVKnRA1pruJwr7WY4zos0Yv48ssv4/ZLly713yzNJXCfkDJtfNNatCVT3WwgJXgD+Ha4
+uks1uC3ScqSXhO2qo9XMAIDcYFw6BXE8YoEL7l++aPLetCPUMLx18Pzzz8fe3a+88grOwPJqhhy8
+5toXkd/vF4R32HEwr9vh/ggux3Ge3bHjyJEjQM26CYIgCGIyI+7jP/3pTx2tzxd3sMwLvARsyHeL
+01BJKWue3/z6NyPDw1dfffX06dPxLUJEGapE9PH0pD8tRYp8yDvxIxlqKMTfq6RX/YZe/qdm1pOq
+0hNmvHqEgQ5BhvcYQvRkIPgDwU8R+zek+ND5558/f/7848eP9x0+jNmNmNrt9h7jIQOlCWKiKXVD
+WjRzdktpHS76T9i2/corrwDA3Llz/U5jcjpKYIqysh4Z8iqh2L14DO5LXHH4ab5D0UpRcwqahokY
+pqH9ES8gjOntJYI7VApRwPeJCmNbPmbxLmWJ9M5XXGFh+WNQevfu3SKj28uqAm3AY15WPa0GW+RZ
+iQyrtGVhAVU6ne748Y8BIJlMYnkVQRAEQRCTEXEr/9nPfvbB+x+4Sd2O1z4Wp2I5NtrReR+YFC4p
+ud9wp6urCwCuvvpqw/RCEaq+AjVPW+hJLdgLEIijiJ14elKZnIo/Si24hYQ7Kz2ptBbzlCPumclV
+1kwJOOvqMWhOG2F6UtKfgKEgYABwzrnnLFiwYGBg4Le9vf4sVZHQHVCVUf+TJEqCkjOkg79a7nwE
+uYej16ni+eefB6nNGAAoLfnVGg/3uYBnUWvDCHpgOFBfzZQwdXAgljZmUBtXELoZ7lZfjtWSG+W0
+xGOxoBvKcesw6VyY4k3E3t2Dg4PPPP2Me0NxY/8294Y6Zv+CxvpNe7cxMRMBHzmOY9uWZb38yit4
+Y6NwNEEQBEFMdvBuPjAw8Oijj9pey2zRAccNFvvOdoB8yA1NtAQkpW079okTJ3p6eiorK+fPny9l
+G3r/lTMQVQGmbSk21kxrfXvwBR5I9jk21gFVT55RTIZ+ohKDERFvBsED1o5QO+xMJjdIKeti08rK
+yosvvti27d/2/XZoaEjY0t5lDlGVZE4TE01pGdJaGyrXawVc5IQIG9pxnP379w8MDADAwksWgp8/
+I9V+gN67X/aogWb64gboOzSkumPvj9iZu4QZfv6M6yn0tjcN0zRMd2UMZuN4y6VpmG4TCIOhZ1Fe
+DTXHoV9LY0gOQmk5C+l5Jp2b/wwozgIG7JJLL6murgaAN954w41Ie2O63UaLPKTzx9l+rdrbRVqX
+Zdu2bTm27TiOZVtpqQHJj594AqTCKoIgCIIgJi+i3cnPfvaz9OhoWmBZktFlWdIYTjfre6wWl97c
+NERSOo7t9Pb2vvfee/Pnz0/U1PimKWi6STEytS38yApTjE/GVPEmTYRhUmhaFDyjnhRKD8WlkI4K
+oOhJ8RbUk3iIYue6nmT+R8jdyCDUERBoYav8JCV1GoaxcOHCqsrKngM9J0+exC9U6MlQVTnmb5Yg
+cqS0DGlEq8v1ApVeXjcO/bMsbDNWXV198/Kblfphj1DHoRZ/1vxqsvUr9iDM1GCwWgtZy/0P3W0M
+v5ej3KlCbCZSYrTD809BlFtLBwOSi1SsdCFXgOmHHbwsDNyB0jt27JBC/o5/2fNaJi08zY6fXAXu
+8ur4A6bffuedzs5OAGhubsZWnwRBEARBTGpwAMfAwIBcKc0xSuxaWeDNxHQc7td/jedDM0lKMUf6
+8OHDAwMDF1988TnTp8u2sdiDridzaLUjLFJtD369tGqXyh+Bpq8SnYaAmDSUZtqyBAWtXFEKRCv2
+sFwIKenJoEpUTjDDZUEuuuiiisrKngMHTp48Kfqxy9dcU5UF+UdHlDSlZUhnzOv2fZP+D2+88QaI
+ft3BPBUWso7IJrTc2jq4AMkv+WFkLZdGJORIDj13P8wNU2N0Wv7jxq4l/6JfF234lczSJ6vOSCNk
+lZSPzb8AkttS7/eoti7HVm1Hjx61ZTewdL3P+DXl+OUGq6Pdm5hlu8MSsFbKsr77X/8FAIlEgvK6
+CYIgCGJq0NDQgEHpH/zgB+nRtGVZWFOWttJW2rItGzPURGr3OCdLh+d1q4yOjr7zzjvpdPqiiy6q
+qqry3wscggXPAUklttdjuUy3tJnU0Ds4d1qISUVPejHnTHoSkxkVFSplU2ofLU+IUT6a+fFtWfQK
+lagcqhaHVz0OM2bMOP+CC06cPPnuu+964WieXVWSOU1MKKVlSEMwHB3M6/ZKW/DXe86cOZChCho0
+H2HGscp6EXJgM7XhRFjRstJ/gknR4yBhtdny8CrI7MuU3y4+O/zv4FkE3+U9Xz29Gn98+eWXRS2L
+loeTTw+iWh0tGjm6SVaO87u3f/fTn/4UAJqamigcTRAEQRBTBizXOnr06E9++hP0nrtl0lzoPSVs
+Oc5K6SySEtXO6dOn//u//zsej8+cOVMRk1JnGRmWQWa5/9WbcrtPysow+C6tFa7YT6hiDNWTfmEg
+RrPDAs4AIQJVPy+WNeasBtLFKcgK/OKLLuKcHzlyxK+ODsvupqA0URhKyJAWv07q7xhwhwMHzOvG
+oX/I3j17ISzJRPu1lBc1zTXIxFtwnWZeboyheuak94tm3cxQN5OG9fm9t0PxiliybWa4TkWtots/
+cs9JKex8+SKoC6y/2GmLpuDaa64VX4Gc1w1ui0UI3tByXPsyVUdzzm2vr5ljO7Zlpy2/WurHT/wY
+307haIIgCIKYSjQ3NyeTSQD4vz/9v9gZBcuk01baHcOJERPHbeItV0rnjmykSSpGkZSoSIaGho4d
+P1ZWVjZz5szgTsRjRQ+GhWfxJ5wpjZsFy5u9fXkV0kJUKhupYtLMrCeZriflOc+emFSHvwSVobeN
++6M4hdCzDjO23QvlnfYFF14AAO+8845I3BfZ3bqqPEtJSRBjoIQMaQR/s6RqFi8Ojek9rh3miCHv
+CxcudN+ZoUgYwsLR8gZ+SzDZH6e9RY40+x21GQOmLU+K1zBQIC2vnoqFLC/NrmNSLajWfH6yU0Cq
+6FZ6d4N+/Mr1CayDAPD22297NyzuX3a50xgf+3on70E8lOYKutucOHECw9HiXjuV6O7u7uzs7Ozs
+TKVSUR8LQRAEUUTg3aG7uzvqA5lw0Eve09Oza9cuIfYUVYBikENetIcvPDRJyTl3+NDw0PFjx+Px
++IwZM9z3BPSkrLgy6kkvtKHoSaYkEvoaT2yjBoghoPREdCdET8pZ4uqniKB0oFUa0+Sfsg34mjlT
+6MXfLHR0FoPz554PAG+99RZGv7jjFvQFVeV4vlaCyJFY1AcQDbiYAvheKy/px+2yePLESdyyurpa
+87GJBgwaQW+ib3+GLRbB1GtxcO4yxIBldhP6n6idGsZmgTPGHO64Wwr3HwNmM87UwQ8MDGbgPQWP
+Krj0iP1wxv3HoDzOdIac8+rq6sHBwSNHjrgZOF5zSy8H2zHG6tORw9HuDt1v07EttzrasizbstJW
+2rKsRx55BJuxT9JwNPZI6+vrO3z4MD5IpVKpVCpUGyUSibq6OvDK1AEAi8fmz58/9ZwIBEEQpYy4
+L+BtAgD27dsHAN3d3aGu1bq6OixuwhuEuC+I5ycvzc3N999/f19f36OPPvqhD33I7SljmRZjIkSB
+piA3DLcrKQPOebhplwOoKkMkJXeGTg8dO3ZsxowZiZqE1hQ2U3BCtUnlEEgGPanKQllPivergWY/
+zxGkhET9pLygLmMs2M7GMAzbsV3px/0jMcBwxScDwzFQbMuHCkI0MtD0ZKj+BHQieLuZNWsWY+zt
+t9+2bMuxRT6BK33HryoJ4qwoIUPaN7ekkhgxYdizoN3E7jfffBM3wNFNWm6znnvjvQBBb2Ig7Bz6
+vLKB96MYc6+Y8Zr/Us2TwQdiGdI6JQIAcHc9cpcwfK9nBuOPuD3uhGErCMnkVmxmpo9hdD/Iy2QX
+W1566aV79+713LVSgjfnDuem9xbZKh7b/cz9NkUgGrxiabdcycZwdENDw2SxJLu7uzs6Op5//vkx
+hBFSqRQqKqGr2traxKuJRAL7slCtOEEQxKQjlUp1dHTgPWIMWUjiniJuEDJ1dXUrVqwQvbsmHU1N
+TW1tbXv37n399deXLFkiqvYkYeAAgMMd5jDuRxtyxc/rllSlJilReJw4cWJoaCiRSFRWVPrhWQDI
+oCe1rtfalv7PYY17/GeYrx5DwjCBAmbxGbKeRDtcqEH/xL2jwRgMvtcNAqEEZYAaE6WmrwnZmfQk
+U2xy/9MlPTlt2rRzzjlncHBw4ORARXmF0O0AoKnK8UtKgjgjJWRIg2pLyz4vv0hGWv7wJT+1GxTr
+N5NfEIJrn/8o4POTi6uZv19tM61/o+tPlP2I0rKLXj2lekcr6pbyyH2Tm4WUf8v7DHmeMeCQKRQN
+Ut9ycQ16enp8M9pxpCP0vxoY65Lnm9De5EbHcWzLdkdHW2nLsrZu3YrhaJyQUbSgAdzZ2ZlFHtXU
+1NTX1wMA/g0AjY2NAFBbW9vb2wsAvb294kF/f39/f39XV5f2KR0dHR0dHevXr6+rq2tqalqxYgVG
+sAmCIIjipLu7+/nnn8d7RKZt6uvra2pqwLtB1NbW1tbWgnSD2L59O26J94Wurq7+/n7tU7q7u9va
+2hKJRFNTE94jJpHLdd26de3t7alU6ic/+cmiRYuw1pcZzLDE2GXXFHT7uTq6xZgLoapSSErk9OnT
+ADB9+vRMO8liw2fRmdlSI+W3B/vXBvSkLDsVPWnIZ+dqS1f7yYNpDIY2sLiA4VmKqp5UHqv7VA41
+YGwbhlFVVTU8PDw0NCQrd1C8G2RFEwWitAxp8FxUAMBd95Vn1EkF0o7j9PT0aG/Uk2qCJS6iuBmU
+l5S1SVjLwTHRwMQf8XZtQfTXO2+Kldu/QT5BzUkgLSLuYsS9z8OOFVxaprwD0xY0xSnIdLNc8UdK
+L4icIuBwySWX7N27FwAc6YL7Ax4dB0wTpDyiXL9N2fwWXSXkYiXufrP45X7ve98DaTxGsdHX1yfC
+C/LzNTU1jY2NjY2NqISEQsoEbpYJYWBv3759+/btqKJQM7W2tiaTSRGmjvp6EARBEC7i7tDX1yc/
+X1tbu3r1anSkCgdrFvAGgdsHQQO7t7e3q6try5Yt/f39qVSqvb0dANavX493h0nhck0kEs3NzW1t
+bU8++eQf/dEfJZNJtw2V1DwFzl51BJFVZVBScs7RkJYHX0GG0jzG9LCz/NhvWBOIJOu5h7LIlEM4
+UqgmGKYO6knXOgWO/cmELS0fMOdqRiRKQubpRllkqtHmTJGbkA2kmDYeZ2Vl5dDQ0PDwsJ/eCBw8
+hSlU5fi/XII4I6ViSPsWF/d/BLlGWso3dhwH45Zz584drx8rbKpBJu9j6MIKoRa1MM/Bj3ULO9kt
+OZHch3Kyt+YoDHUEZopCj5OBgQHvHgO48MkuQ++rAsXxmRklVx8AONgOztWSO5lxzOjGcPTRo0fB
+m41RPIjgc6g8qq+vX716dR4/ToQmUEj19vZu2bIFNRMA9PX19fX1oWxqampC2TRZ0uAJgiCmEn19
+fZ0eWnYSeldXr16d3XN6tsgG9gMPPID+1i1btqD7FY8EXa4iTB31RcrIXXfdhdVM3/ve9zZs2GBZ
+lmmajmly05V8AOA4juEYju0AQBziOUYvlYw/SVVqkpIDYNduAKioqMhvXDSYCh766hlfktK9/b2J
+vG5QCwaFKZ6pk04wE1v8qAvLQG1gjhiGUV5e7jjOyMiIH5txlBpp/whzlpQEMTZKxZCW0X7T3Iil
+t/w5ot2fN0S6QDDlge8mFI5GzSZnBqhhajxmhzleOFhx/oFoFSYFopX1ceI5ePCgX8KMhSzy6CuA
+8VvvGIgWEWkcMojrLIZ5k8lkc3NzwU45O+3t7dgQRX6y0eOMgYW8UFtbe8899+DjLVu2oGxCzYSJ
+3wDQ1NS0ceNGMqcJgiAKQ19f3/33348+TUFNTQ0GnxsbG7PnJeUL/Kx777036HJFGxUDvxs3boz6
+goWAt/v29vbOzs4vfOELs2bN8pql2KZpChHoOI4cjB2DxaUMVZUlpeMIQ7qysnLizjS01zfzBsFI
+cedAabSrMA0AMJhfMCjFchnjjpJezZj+kcy9CiGHxfMflTEMo6KiAg1pv7WbmxTAte9i4q45QSCl
+aEgDrnrgua8cfwSxmMx08ODBcX0AA8i6DgdTxKW3ZpsHoLwlMM9AFEiHHE9YJfOZVxkWvjjiRcyd
+pdcsxbuv6DGmrHowlvWOc8UId2c2CvvZw0pb6XT6l7/85YEDB6BomnVrJjTKIww+F0YehbJ69WqM
+fqNaEonfaFE3NzevW7eOzGmCIIiJI2hC19fXY/C5MN7VUITLtb+/X4SpMfG7ra2to6Nj3bp1xeOk
+FmCl9ODg4He/+90vf/nLONDYNEzbttF4xsHIDueGo1vUZ4VQMoqk5JxzLiLSYzyHM+lJbyt2Bj3J
+9Ae6RR1o5cNDoxs56knm9+LOFrA5ez2JEWnO+fDIsKiR9nMExqEqCWIMlFx3eCkPB7g3AsptfMVd
+36TYGFt2jwG9MaM2pH482SWBxRIbj+HNILzP2djPIbxgO1OXi1yQJ1S5lxq/COnls9uh5HrUplK7
+0WngnPMdO3aA5zsf12UZN52dnfX19evXr0crurGx8bHHHvvggw8eeOCBu+++O0IrWqa+vv7ee+/d
+vXv3Bx98cM899+BRtbe345HTkGqCIIi8k0qlWltbb731VmFF33PPPYcOHdq9e/e9994boRUtg27f
+Bx544IMPPnjmmWcwFbyvr2/9+vX19fVaCD1yMAUdAF544QXHwYElftqaohU87RdsNJMdWVXqkhI4
+5xyN8+D4qBwJ0ZPy43ELPeWDJD2pbzZO4SrXb2u13GffLx2/IHeAmTTHW1eVNEeamHhKy5CWiliU
+J7mUiYOLLCZ1Dw4O5udz85Cz7O8ry6lNHOM8gWPHjrn7cdzL7WfTu8ev9+7O6aj8O6BXGo2JW46N
+ddGWZaVH06Pp9PPPPw9RV0d3dnauWrVq1apVaELX1tY+9thjzzzzTH5LoPNLTU3Nvffee+jQIZH+
+jeZ0a2srmdMEQRB5AU3o+vr6trY2XFrvvvvuQ4cO3Xvvvfktgc4vjY2NzzzzjGZO33rrrVnaiRce
+7C169OjR1/a/lrbS6dE0agM3aQ0Fg5iMdfaufFBVpSIpHc45Ly8vBwCMS4+fAgRawy9C/kTsOE8B
+s+UNw4jH434pHw9VlWcnKQliDJSKIa10hgDJblN+63ymTZs2zo9TjXX1SMawjig7k98rDEl3GQnf
+bAzLCFfPgoN2oc5qZ8eOHgOA6upqbV0TJUVehkDgm8rhIovv0rse0hRH7jjc2fHss9g9LqpwtDCh
+UV7U1tY+8MADhw4dKmYTWkaY03fffTcAYC4fmdMEQRDjB5dTzYR+4IEHitmElkFzWhzwvn375Ptd
+5DQ3N+PUrs7nOx3b6+ksNanC4R4gi4oc5If+OJOkBNeQHh4eHtvxn1FPnv0e5YfKich6Ut5uPHpS
+hOZD9sDHoic55yMjI4yxeDzO1WsOmqo8G0lJEGOjVAxpCPwWKVk9XiKIsHDxP93d3RN2NIEH/hN+
+/bbypGTTgr826as3z7CyBp8sfA3JwoUL/bYQXDrwwAKXoxWN6QPA3QuEgWgbp0e7FdK2bdm7du0C
+gGQyWfiJHeihF5KipqYGTWi0SCcXwv7XzOliy+UjCIKYFGgJPppFOrnQ7H/hPp5AHZUzmIzW2dlp
+WRaqAk8d2JZtCeUAZ2lLZ1SVIFnmDsfq6FOnT03oOQaln6vxJMUIqvDzjUzxqqQnMVM9u3TU965v
+J41czR+2Yw97hrR0DNlUJVnRxMRRQoY0yKOG9d8x90nulUlffdXV2lsKcHAgliPuV314FnNgcy+L
+xfFziLxGXo5uTcv7kRb6/C9wmfCbtylHpRyhsqbnfNHEoumIbCqMzXstx1InTvzf//t/oeB53alU
+Sq4Zq6mpwWq3yWhCy6A5LXL5xGlqs68JgiCITHR0dGjNMuQc6cmLyEjHzhqdnZ0rV66MvLMGZncf
+O3bsueeesz1twB1PNImKM6+pSu57DlWVQlLijxiRHhkeKbQ552k8oSdDhB9u4KgaUi6/C+hJXaDy
+zLb0BJ2Ww4dOn0ZD2nEckcINAVUpjregl50oMUrLkIbgBOlgjrG6IGJO8hg/K/D7K1KRMx4bz7Aj
+aUWQPaZimfMNSJDGegVHNAedi2Gfmm2552f5vERtba0Ujw5PFM/xTsO9JCQ3EO2IKifHtv2ItGVZ
+z27fjm8ppCHd3d0tGsYIE1poiylAsDRuzZo169evj/q4CIIgip3W1tY1a9aIZhlTw4SWwVue1qgy
+wkzvpqYmzO7e3bXbSrs10i62ZXviQVZDuRu9oWLGj1gAVFZVMsb6+/vP2G8smzUajKZkqbbL1GRL
+zVuUy+J8DakKS38zP6KdValy/djAE73BgzzDpciAZVmDg4Oid7cy7irsi8jxeySIsVFyhjSc6fcK
+XxU10sePH3efB+UXFWTjVHm/8jjEmpWeV9YpsR6AYgzLB+b5CR3hO8SlX9wDpKIf36cI8rLCA8cg
+h7wz1KtkcexlvJhcOmbOsURZ+uSxfDXaZv5V8hKYXH8qLuZeC4rdu3dDYfO629raVq5ciSJJc89P
+MYQ5jbl87e3t4sQJgiAIjVQqtWrVKpzALCp9ppIJLdAaVeKJt7a2RnU86El/8YUX5bir0ECBJ8+6
+72mGF4ADr55WXV1dPTAwcOrUKfktIe/i2X4M15OBw/CN5LCASmgYJqOe5I7DHXdfkmmtf6JkmUPA
+bA7PqcxojoedO1fedfLkydOnTycSiTNOFCMrmigApWhIh8D1BUKrUAqvElFNU9l1l/FDwrKGtPWE
+O76drZnc8lOOLaXeaLMcHKVyWHY9Sufqh6+l8xELn3p4mU8n/KXARcDUbrmFG/fi/2P7uvBjxOBv
+v2G3W/ZkWbZlWVZ/fz96we+6666xfdZZkUql1qxZg1oBRdIDDzwwJU1omcbGxt27d2PjNAzFU5o3
+QRCEBs4+xFtSY2PjFKj0OSNoTj/22GN4H2xra1u1alUkad7YanRwcHDHszu8GmnL8v6yLduxHVlN
+wXjMMFVSxuPxOXPmjIyM9Pf3QwY9Gb6bzHnmStBVfV6RdQ4HAIc7IAWWuZrp7cj57ZqedLhjO2Js
+mGKEy/XUnpktH3emw1ZPUDqdsKh1qM7EKTAXXHBB4HqPXVUSxJghQ/oMdHd364aulLsSWBT8Cmex
+SEHoKik72GQLGbiYqMcDuK5B9RXNiahZ3d6sekd7GwAAc0tolOMV/SfFyYJ0CjzsgEG5ODzwXsGC
+BQvG/42c1b0Np15BQfK6u7u7V61ahTZkfX39M888M+VFkqCmpuaxxx679957QfUmEARBEADQ2toq
+bMh77rnnmWeemfI+VsHq1at3796Nc7A7OztvvfXWwncgq6urSyaTALB3795M22CNtPxMXkKa5eXl
+5513Xjqdfv/9933pJPYf0JN+XJfrmgskPSmnoYOqGFHyAQdXT2LUwfFlnq8nNZGZWU/6oQvVePb1
+JPjhDVCMW9DOS5yJslHOevLIkSMAMHfu3HHO0CaIvECGdEZwzQ1xjwXWO/FSaFoOzxC4VeqE5T1J
+FSby0inWVGHucpGQI/1xlzbhyOP+h2lhZy3NWzmRjIfLz/h8MB29p6cn79+OqDUKuhu8UmnHtu2f
+/OQnIN1BJ4729nbRnvTuu+9+5plnUDSUFLI6jDDyQBAEUTygb1GkcwufY0lRW1u7e/duTPPu6+tb
+uXIlXpBCgv70J598MpVK2baNWWyYzeYbho6X0nymeubcKSsrmzFjxujo6AcffCCezCImIYNuzKTC
+QIl56HpSCfl4u5AtavHfED0p1x7KsRbvWfng/Oe9UEwm0ZilhFt+Pigm8fHRo0cBYPbs2YwsaaII
+IEMaAACYi/ycPko6tCg6q6kMoK9TWvhX3o/v4VPjvn4DDOFHlAqhXVNZ+sO9MmrZpwjqPsX6rXs6
+wzqxKXtwMhcCSUa/eAZfHBwcxAdz5swBAIb/YwzYWBZBsVv3RqjidhizLcu23nrrrQMHDsAEh6Ox
+bTV2JS2ddO5MYL4ilvzJeYwEQRAliFztUl9fL6pgShM5zRs7rhXS2SqUwHPPPWd5OsHympO6FcFa
+EHVsEWkhKRkwYGVlZeedd55lWe+++65t2wBhelLK+cuoJ1V9KKs6aSO9B0+m8kBfYXoRmOx6UpOF
+osO5K3E1Pcl1G1gJAknbh52n1GBc7MGLPFlp6/Dhw4ZhzJo1K3C9x6gqCWI8lKIhzbL+polfyFmz
+ZwHAL37xC/FS6PImOxSDhqi2TPAMqK/qjTC49II2kyAcadULaaHh5+c4INvJ6mfJFjbPsCZqVyCT
+lxEzqaZNmzZn7hy8+Jn8iOxMi6Bs2weP1j1rcJtP7tixA981cYY0NlDB7tzYfLV00rkzUVNT88wz
+z8gNZmjQNEEQJYjcf/Gee+7ZvXv3ZBwQnV9Wr14tMrY6OjoKmbgkctO6urr8wmB3fJLfW0vvBZuD
+LZ1JuqDUMU1zzpw55eXl77zzTpZp0pqs4lyyrX2hqHcOk3VfmFQTci9MJ3KvLDwHPamISSlH0m+A
+qypeVyYGrH35RPwz5dJbQH5dvUTA33333Q8++KCmpuacc84JBsBy/F4IIo+UnCEtfq+YGoWWvVn4
++KrFVwHA8ePHDx48qCwQ0iLApWBveMhaSrMJbXnN1agveAnbyvsl29VfF8XSryJbv6IGxu0VkXFp
+Bvl5CD16CFnX5DofLVAvN29EQ/qqq65i4Ppog19E8AvKgrCWRYsxdwKWG5G20dmMBdJNTU0TlNeN
+ViKmc8s1YASokYf169eTLU0QREnR3t6OEwExU6kE07kzIfcQwd4iBbOlse3oiy++2J/qdyPS7gAs
+251I7Jz1QOlQMeM/ZsAYu/jii6urq3t7e0+eOMml6EuwNPgMn+vV62ktXeXgR4g1K20jx3t9CZqD
+nvQlqOttAF1PQnicI+RgeGY9KbfIlRv6etv/9re/HRoaqq2traqqEro9XM+r3w5BTBClZUiLQKj2
+q8U8007+lbzqqqvw1X379oWua8JzqTsRAxalGCHgbya1i9AdilK3be0l9PzhW92s5kBNi6M4DUE0
+G5NnYoHn++R6+FsxreVCGmWn3gZaRrd/qN4azYAdO3YMW3ZfdfVVeNkNZrhxaelLUBa+zHUvsjvD
+W2S58CuLpHeHO2//7m3M625oaJiIf0uyFX333XcLo5EQYOSBbGmCIEoN2YqmTKUgogwKCmtLi/S0
+zuc73RppTzhhbZgbeAB9IEsmQlWlJCmZwQwAmD179owZM44dO+b2G5Pfy8HtC8uDekqSZJ6FrAhF
+2Wzmbv1dUE/KO/QD0bL6c3gmPYnNzDmXQ8+SaBQWr+ht623MVdM4XE9yXU+Ccrbcl9kAAODYzuG3
+Do+MjFx44YXCkEbdLl957RuhUmpiQikhQzpgPMteLC8W7ZXvMsaqq6uXLVsGAC+99JIWsFW6DgKA
+GppWFgi15YOwckEyQuUVSR4GEIxFy0skbpMtIUcsZ/Jiqlq/+qdIsXGl9aLsNZWi60HHgThZcRZ7
+9uzBx9dff70SfFYdidm/LPnAlJMTbcUc27It27Ftx7Et20pbP+74Mb5xIvK6NSsa1QARBCMPZEsT
+BFE6aFY0ZSplQtw9C2ZLJ5PJuro6AHjhhRfSVjptpa20ZVs2prbhsKegkJNNPkFQugQlpXi+rKzs
+sssusyzrzTff1OqNAfTwrCwRZdsa5MbXkgkMoAY1JNEov+SLUi/wHlLNF1SPoH6Y4wSlqXTogcxH
+LfQdkIy+5vRapuuXRdp8cHDwcN/hsrKyCy+60DRN/2oDAGNZVCXFpYmJo1QMaT3NA7O4w7JBfAw2
+f/58ADh06NDg4KBiKjtcc/KF5NgEB0VJrzrBSLC7evpNwtw2YwBymo3wEQaLefyFjquZOZxjzrNs
+IcvJS56RrmyPq61IMuehhr1kkAevAz6/b98+AFiwYEH1tGqx6BmGIV9z8XWEfFMBfBNacyO4cWn3
+tF/ofAEAmpqaEolEfv8tkRV9VpAtTRBE6UBW9FlReFsafet79uw58s4RFGKiONqVVA4XAixoPyMh
+9WhhktIwDBGbufzyywHg1VdfFdJOM6d9VSWhSS8uzedy+7+KQdBCW0p6TLyEYXbOuZfE7ukoKY9P
+V5Og6kmuvAuPITguC7y6QumAnBBLXhWN8lln0dUnTpzo6empqqq64PwLmKGGw6Svg52NpCSIcVIq
+hjTC/BJo5UnGpF9JyaD+0Ic+hNtgyzE99iqHo8X/tDSb0LEB3uvKYuEZ6v4G3pql16jgjw7P9odz
+8enykufPEpRPgQdOR61XCYbi1Ypw1WWrnumLL74IAIsXL2YGMwwDM53kC+59CyDyc7KY0MrVc0ui
+ba9O2k5bLvtfew0nDeY9r5us6DFAtjRBEKVAR0cHWdFnS4Ftabl3d9pKW2lXOKQtSykPVsVYpr0F
+VaUmKV3BYxjJZPKc6ef09vYeO3YMAmJPKRAGRU/6UWg1kVCLUcv78fbr71MES/AVMQ5GBIfOqCe1
+mmrNeNbOKGPPIMl3wEGSzgEhrUhQb1e9vb3vvvvu7NmzZ82aFYh8harKbJKSIPJCaRnS4CYU4wNg
+zB0ijwYeOg7xMS5/s2fPnjdvHgD09PRwqXoZtKFQXPut1wO0IvCrtFXE/zJ/xoDv8gOl64McvxYT
+kp2sCPPSX0Cl/cuZRcHVEAKLuLyya+5P7ZzF2/HDXnjxBXxm2bJlhuysEM5aACUHip3Fkif7PkXv
+Czyd555/DgASiURzc3N+//20traSFT0GZFtaXEOCIIgpQ19fH1nRY0O2pfEaThzJZBJt6Zdeekka
+FepAZms5O7Kq1CQlSKmO06dPv7b+2tOnT+/Zs0e1TNVetUHp6PhJgiDpSSW4gv9jENR1/o9SIfRY
+9KQ0C8Zv5e05HUL1pFyvqMSfpZZjrhkf0NB+mjq4n2Vb9gsvvOA4zrJly+LxuCIpvQiYrirPRlIS
+xNgoOUMakZKJGTM8u851HTJDYtGiRaAOwYJAj37FIaf3HXRfcP+W/X9SEbWyqmqR7bBaaMg8SUsx
+aKWNNQehvxBr8WQtlQgCZyFZsBBwN/qnAwAc9u3dBwCzZ89eULvAvaCmIbwVAMAMObE7Wy63YjY7
+0t3Pzc3iju24MyFtW+R15/efTXt7O0ZTV69eTVb02SJs6VQq9ZWvfKWQ40MJgiAmGjEV+bHHHiMr
++my5++67sSVbR0dHW1vbhH4WpqodOHDg7bffxpbdKB5ERZzDleAGBNKwg4RKSjcLzzQMw6isrLzy
+yisNw3jttdcGBwcBwDcnw7L5NCvUfyAPjtGa2PBAjCeg0dwCaQjRirnoSUW+aseqJqGL57W4i3/c
+/qbSWajnKz9+99139+/fX1lZedlll8kq3dfuAVU5of+KCAIpRUOaadXQIGeE+BFp5MrFVwLAqVOn
+sHe3vpJKa5nWLhuYn9qteOm4b8Q63EFHn9wNAh2E4o22PBHa+wzHG0sgOx39JQn8gme5tsWrrfZa
+L9qO3zcCOABgnnRw6ZSTnTjnYnUSh6XZumL9wsFXixYt8jt1y1fYYMHvIssXp1xAcWCSLxUv6Cuv
+vDIRed3d3d2tra0AUFtbS1b02Kivr8cZMAUIOxAEQRQMkWhzzz33NDY2Rn04k5J7770XHRATnbUk
+nOxPPfWUiLhyx6sfxj+q3si+Q3UEk1wryAzDQGFjGEZtbe2cuXPeeOON3739OzkgwYDJokvoGRCx
+CibpRlVPgmddo54Eybr2BRJ3OOe2HKYW0WnxZLDhjif5hPiUP9r2Ou/Izb1lfWvbtjyrFVQ96R89
+87tq+3oS1Ne9zrWnT5+++uqra2pqdA0vZ3hDrpKSIPJCqRjSfqVE2BBjXPh855bE4isXV1VVAcC+
+ffsU36Sjl4WIxQK8n0M6Mar9rsW6o/RaxI19H55mJqttJ4KuRL0HV9ibHX9UtWbeizxz5fDkVBwA
+2fYGtXBaPruenh6sBUIvrOJBVNc7kBtgZi5r8W11cH0QiCVj28895+Z15zEiLYKoNTU1NOlqPBQy
+7EAQBFEA2tvbcTVbvXo1zYseM/LtdUKLpYU82LlzZxprpG3bsizfwBSDPDO37PYfSKpSk5RahuOs
+2bOS85OpVOrNN97EjwMALnqPAdf0pG9sB/pXy69qk1M149+Vhdx9LYue1AWjJEQ1IxmlqbJztVGO
+OOyQ1t+BNEbxpCYs5TMdHBx89dVXAeDyyy8vLy83ArhF0qqqBMgmKQkiL5SKIS3AXzMAYIaBf9xf
+QmFLM/3389prrwWAp5566tTgKQA1HCoNpnfdZmpfB79jofySNgRLCiCL3SrPcEcUpWi+w2A1i25W
+i71py6Q6clpuq+j/LK96XPImqhszDL6Du2i6BwH85z//OV7z6z50nZvWbZp+gjcIz4XB5Cbe2YPS
+fhdKf7GWzxE4f+GF/Od1r1+/Hh3kwmVOjJmChR0IgiAmGkpWyiPiGqZSqTVr1kzcB2HC2rFjx7wO
+ONzhjm3b3lQTLpRZIDdaR1OViqQUksc0DcMoi5ddd911hmk89dRTAwMDkuDivpgEN0YNUrcaWRDK
+VjeXstCFMpR1nZ7GGDrYJTc9KdXS+ZOo5cxH8UFyIJpzNW8ReIhKlmI2bnDea8cjDqKnp+fVV1+d
+NWtWbW2tryRlKxpYUFVSUJooAKVlSCsuQ6nHles1ZF442jRMD8MwcJr0qVOnHn/8cd/JJ3vLvBUN
+XwCtd6KINkvGM2hDmKXSYt1T6CilL8IODx9XIFnd8tIJYnIgVxZcJRddnI57jp6P0PH7fotz5JKD
+VnqL2IAfO3oMDek77rgDL6dhKsueKJMGZWxEtli0elncHhi2l9uN46Of2f7MwMAAAOSxzVhbW1tH
+RwdI0VRiPNTU1DzwwAMYdhBVhQRBEJMOueMDJSvlhdWrV99zzz0A0NnZiR6KiaC5uRlHY7740ouW
+bY2mR620hba0bduObQezuzkPsag1VSme9O05gWmYpnn55ZcvSC44evTorl27JJUYov0AdD0ptJpq
+davdbVRLlQfCzpqe9CzvM+tJJbbCvcExwrBXB1xpvX64pCeFIwD811Q9KStqAOA8nU6/8MILJ0+e
+XLx48dy5c9Ex4Up001fuoaoSKBZNTDAlZEgrKcTer5Xfodtb6JT0Y8ZM01x81eJrrrkGAFwnYpgx
+6TrPRHNstSZEWZXUiX/BWDTuT3jiNN+h/6TtKK9JdcIieq25En0/oii/kf2UjuPWCYklWK1/dqQE
+JHGEcmxdZvPmzQBQNa3qjjvucBuMBUL9TKR4AwDzy4wgky0tNT+XxmhLLgbOu3Z3AUAymayrq8vL
+PxsRbRD1vcT4ERdT9LklCIKYdIi0GkpWyiPiYra1tXV2dk7Qp7jZ3b/Y6aopr3GMH27ljlAdQSta
+L0zDft2qpAwqnsrKyoaGBtM0X3jhhQ/6PwjuVonXegOr/OiLZNOKTquhQRRfsMm6Ua1zlrrL8Ex6
+EjvryBJUjz97H6QEsTXjPKAnFeMcJI2K/cnF1eAcAN5+++2XXnqpoqLihhtuiMVjpmmK+nNdt6uq
+kmxpogCUkCEtw4Chs1DpVeA7tdxUnFgshr+ln/r0pwDg1KlT2LRZNpIB/F7cvnNQqnnmXI8/S9FV
+11Mnm9/yu5yAlxCkCm2eBSfDk8G9SblAfl9xbd+SXyA0Ou1buZxzzvfs2YPjo29efnN1dbVpmLFY
+TGTjiK7dIg5tMCN7127vkbiRAOfg2I5t4egGG+ulT6RS+c3rFtllchCVyAt33303hh06OjomLuxA
+EAQxQchzHHA1I/KFGJe4Zs2avr6+ifgIzO4+fvx4V1cX6gi3+asIRYsS30D37CCoKoOS0rf3TDMW
+i8VisUWLFtXW1r554MCvX/21lj4NoOtJ8YnCXgW5Wbes0DAdmrsdcLR2PBkVYCa5KG8j1Tn7+wwW
+P3tHgr3aQkLfkg7WT1nWk6BI5XQ6/fTTT58+ffq6666bNXsWms2+pGS+/RxUldH9+yVKiJIzpJk0
+cU54tPAXUm455ifjmKZhGHNmz7npppsAYNu2bUePHhVrgd+UwlEsSS0gLFutjpov5PhmrPdMWKmz
+smeu+gXDkLcUb+RhE6QlS9rPuQHPtnd7kgUTgdBLKq3g8lq85fEtADBt2rQ77rhDKY3GXCfvwruX
+3dCHAQa/NWXRx0O3bf9YbHcW4nPPPYd53fkypFtbW/EWTtGGiUAOO0yQVCIIgpgI+vr6RLISlUbn
+HWw8BgCpVGqCspaampowu/sXv/iFaD1te1VjSnZ3hpZjiKIqNUkpR069l2pqaq655pr06OiLL76I
+c7A09ailTIcEVOQGMVzMXgFNT2pi0ter49aT8g59MQlubqa7S640y3VEtbmqJ4XO9E1xR9KrwPv6
++rq6uqZPn15XV1cWL9MkpSiQlhW7UJU0R5ooACVkSPu1E8rIJUC/FTOYaZoGUwqkzZj/8LbbbsO3
+P/744wDKWiC3ttaWuaAdrC+HQSNZGpqFuw2dZXVmArk6ePzaYqw36PaOJOhKBOHjDItXC/bs2YPj
+o5ffvHz69OmxWEy+pDHTNGNuWQtedmVYATD5y1LuYaCmJ3HRs9v22nXbu3btgvzldff19WG0gUqj
+Jw5RVXj//fdHfSwEQRC5cv/992NpNCUrTRCNjY2iWHqCErxF724rbVmW5YgsZu7/ERadZlHLVdFy
+mxdFUpqGGZOFpGmYRllZ2Yc+9KHzzjvv5ZdffmXXK5oS9I1Neb5pqPTyXvECHrqedIWTdwp4qCHF
+gLnpSe1tYm+uCASu7S6Y2qj0ANeSOiVLW74gQ6eHnnrqqSNHjlx11VXz588PFecGM0zTxK7duqpU
+JSVBTAQlZEgjTMPL8TZ8R6IpPFty6cWcOXNuvPFGkIPSko0HYRkygMFdNQ6MhyFVpkgrj+MbwPLa
+pTQJc6RiEmmhly1Mvaya62+UFlrtCKSRgOrsAfTVAq54cvhdvBXcP1u2bAGAWbNm3f6R26UWG9Ll
+ZMofFvxOQvE/Ejj3V17hejh58iTmdd911115+aeCpl1NTQ3l7E0ctbW16KRob2+fuFo4giCIPCK7
+WSlZaeK455570EkxQbMSsSnp4ODgiy++6HgxaezdDULTSPZtKNkkpa8tFRl0zrnnNjY2Gobx5M+e
+PH78uNLmOlRcOX4WpKYnOXDH9kLpXgjEl3WynpQEYFAWnlFPhr7RP1RHOWbBjuw3AACAAElEQVQ/
+q1GK4oA8ZsVxvK62+imDkHvAe3p6nn/++crKyhtvvLGqqkpt3Oa3RNeEpaYqo/5XTExxSsuQDv5G
+GdqgP8aYV8riur08TNP8ZNMnKysrAeChhx5SVjHHa9+vuuAcx5GbMXI5qOtt4FY7iy6FqgsPFyPf
+VvcWdr/JhCNZ2/IzIrIt+idi8BlUa18zg9V1ELTwtV+irB4YbulwzvmLL7y4b98+ALj11lunT58u
+LqDnQ/QrpeV66Sxfk3wx/UduKNqLSKctK21tf3Y7viUved2dnZ1CJ9XW1kb9L3cqM9FSiSAIIr+I
+DBpys04oNTU16GmdoKB0XV1dMpkEgL379nr9uh3HUxlydncwHIJoqlKXlKI62lQkZTwWu+aaa5Ys
+WdLb27t9+/aRkREA0CSWIuFkI1OWiOq73L8z98/xy6d5Vj3pnEFP+hZ1kICYFFcscOTSYXkf4Uen
+OR84OfD9H3x/eHj4wx/+8EUXXaQJciHUDfWCB1Ul2dLEhFJahjSiB0AN/4/k45ISvD3OmX4OBqV3
+7ty5b9++0FUKeEj6DahZ31qWtV+oLO9JTZLxZjwpqTXyND/fS6gOnXa3tNVSmUAJN3irqZ9P7gXA
+lXVQMmZBGnIoeOihhwDgvPPOu+WWW8T8MOGHVYulvct+pnC0b9Zjlrv3RzgpcLXvfL4TpPviOEGj
+jsLRBWCipRJBEEQeEeHoe+65h9ysE424yBPkaUXP+y93/vLkyZNeszE9SItbhvbuRjJJSqXbjheU
+xh8TicTNN99cVla2bdu2tw6/5VuUak9ZEGIsgy0t52zLck6zn/1Qs+PIjbhFaqQyHVp6oOtJzFgM
+27OiJ8OOTchLCFQ+uk9Ke7Jsa+fOnW++8eZFF1107bXXlpWVBTW5uLbyNddUZdT/fompT2kZ0vKs
+An/GVTC7W0L2fmGlNAalt2zZIpYMee6f1pBQZL9kbyQm52/LRcvuGifWINnDx8Fv6ihnH2mdEuU+
+jWr6jWKoq2az8qR0MNoziNjVlse2HDt2DAAaGxvxosVisXg87j72PLJygjdm4BhyvzHJfejftERj
+c5HX7XCRheXY9tu/+92ePXsgf+FotOjuvvtuKn4rABSUJghisoC9r8jNWhjEdRZpYvkFNcPg4OAL
+L7xgW7bjdRyTs7tF1jQim9OaqgyTlIackSeLokWLFi1btuyDDz7YsmXL4KlBWShyMUeah+jJUJEW
+uo2IsSiBa00ZBvSk/CC0E5qQpsJo9y1qJ1zoBo9c3ky+tshbh9/q6OjgnC9fvvy8WbMyaXIzQ163
+UJVAEWligiktQxqyZHfLv5TSb6yW4D19+nQMSr/66qsPP/wwGnTgWXbB5SnUZpadc/p6Jzvz1HoV
+7ue/gDBrlei0/IQ/CyHQM0NaOv0lVZ1rFXrY8qLrJrR7Rj5wOHjwIFZHX3zxxRiOVta7mLfemd7Q
+P9M8Y163OBj/sZfXLSLt+Pi5557DbfJiSFM4usDIUomC0gRBFC3kZi08osBqInpSiiy2ffv22W5G
+d0jvbtxYFiSC8OxuISd9yeO3yEJ1VFZW9nu/93tz587dvXv309ueHhkZ4WoCo1dLnDFbW44zQ5h4
+U/Sk2q5V6EnZrM2iJzN11ZFNceWjASAQi84ijP0AD/BTg6ceffTRw4cPX3HFFXV1dfFYLDSp2zT9
+TraGaYaqSrKiiYmm5AxpRJ5+5abiuF4sP7vbXfJiSlVGLBZr+lTTRRddBABbt27dt3efFhMWK4jc
+eTvUG6etmPpapvUYk9fCkCzvM7wkuznF35pH0DeSVYM/uN4Fe5IPDAx885vfHBwcrKqq+tznPhdT
+MWNedbR3SzFNk7nNFfVwNOJfRu4b7X7/ScxOsmxs1m1ZFhrSTU1N48/rFjpJhEmJAiDy9yZo0glB
+EMT4ITdrJODVFkn1+QUblO7cufNEKqVldwvTzg0bqL27uRqaDkpK2ZZ2g9KqpJw7d+4dd9xRXl7+
+xBNP/OY3v9GsX/8jOITqMT+YIbXaCepJ3FJXpGPSk34/M3W3unL1zWvFlg4hMO96dGT06aef3rVr
+13nnndfY2FhZVSnkt5CUKM4NwzD9IdIQVJVR/7MlSoISNaSzI2d3S4ue9ztsmF/84hcxwfvee+89
+duyY3CcM9+BPlpaKatxWCmFdCkPWFtBztsWic8acmdDn9TJs0DfzD5uLwLd3qKCcAm4hLhcHfv/9
+92NS98c//vFkMhkLuA/FxTRMwzCNMS9w3mQwP34OAEePHu3p6QGAhoaG8X/7aMjV1taSTiowEyqV
+CIIgxgmFo6NiQoPSIpFtx44dGIwW2d2qsXzWMMZQ86gzsHxZWVZWds0119xyyy2pVGrz5s1CTyrS
+UbQQCygxyJAOmdFq1RK2Q4e0ZgiihDbEzYKftOgdqt9RTD4Lr/AbvMrwPXv2PP744/F4/I477rjg
+ggtMI6jC/YtpmGYh/v0RRGZK1JAOtBszcBKdFy91HytpJDH3x3g8Xj29+o//+I8B4NSpU/fee6/r
+pfO7J+pVH7KfT7afbcfWRguA109LXtHEso6PQlfJTM+It4s9iAPwHYfgu1+F11EZoiB5PUEqihal
+0S+99BIA3HDDDTfffHMsHhNXzPVDSNXRmH+DXkQj0Gos/NuSUtCVvG7uLtBdXV244fjzutvb2/v6
++oB6sUbBhEolgiCIcYLhaHKzRsIDDzwAAH19fXlvpZFMJuvq6gDgzTffFOOv3MQ3TO1Wp5mEEiop
+Zc0jx2ZQHcXjcdM0yyvKl9+8/NJLL/3d7373xBNPDA54xdIiasAl9SUS9DwJJ1rG+CF0z3aV5Wio
+GhT/zcUqFi4GW2p+Kz5Fmavq+JmDQu76pr5nXYvcRrnw8N133/3eo987ceLEddddt2jRolg8hldJ
+FuHuj0ofW9OvkaZOY0RhKTlDWv/V8ubNGabbR9q18QzDTyaJx0zTjMfisj9s0aJFGP88dOjQQw8/
+JBK8xdIGXkhZWac8XyOAv87hgfip4MLGVpO63bfn6g30ED4/dZagE3ZvECsaiF7ZaoFNsL1ZT08P
+duq+6KKLPvGJT8gew3gsLsfzzZhpiLnczI1LM8aAhX9B7rF5F8dflPFC2Q4Ovkpb6QMHDgBAXV1d
+IpEY5z8PNOHEcGOiwFBQmiCI4kTMuqeqn0hobGxsbGwEgPvvvz+VSuV35ytWrACAPXv24ExmrJSW
+wwwiTQ8CldKKqlQlpdK3W9VD8VhcPDOjZsbHP/7xWbNmbd++fevWrUNDQ5qe5KLrjTSBRQg0EHHp
+YFvvoJ50uJ8veVZ6UoynkpWplDouFBqAiCmFpJ3LMSFXAHu54sePH3/wwQd/2/vbyy677MMNH66s
+rJSvWTwWN00TlTiKc3cAjJDuYaqSzGlioik5QxrULosMvPbdgL+JUu8CKf0GuyzG43HxIBaPfeIT
+n1i4cCEAbP3R1hdffNFf2hTHnCMWIFFhEmyOLZu1uMT4dcgAjve8HBzWilIylLT4YXBcz2QjWayA
+wk/JtbwdqZE4AHDgeHsRrw+cHPjmN78JAFVVVXfeeec5557jXyjZ74D+V8M0DX8ClnzlxUoXXPI0
+cxqPCoPS7gE6fO/evQCwdOnScf7DoHB05Nx9990TJ5UIgiDGDLlZIwdvzalUKu9ZS/PnzweAo0eP
+vv3222o4Wok2aCa0wFeVqqT0Z6li9261VjAe8yXl/PnzP/axjxmG8eOOH+/YscOyLGE844eieQ9S
+XMHTQK4uUkbDqKXLQT2pBIdz05NyGFzXk46UFCl17VY62ooibS+7WyuoHhwc/N73vvfKrl1z5sxp
+bGw8Z/o5eIF07R0sG0SnBbCgqiQrmigApWhII9q8JWwozaRZBW5ziEy2dCwej8f/+I//eMaMGQDw
+ne98p6enx/fbqZFeeUKAPiog6PXDd/hzCxwu9YcQOTAhC5z2pPcWz/R19yPX/agGvf/AXyvVV91z
+QSt6YOCrX/0qlkZ/+tOfTiaTaDzHVdxsHMk94Y+Sljwa2rcjH57vDnDcpt14JrZjc8d5++238Rgw
+NWs84L25sbGRdFKEUFCaIIhig9ysxYAISre3t+fX0yoarOzatQvD0Y5XTKYpEE0+yTsJSkrfkPZk
+pZuvpxKLxcrLy5csWfLxj3/cNMxHH330ueeeGx0dVePBAGrrHHE0mcSkoqOEnuQO92LUZ6Un/fxx
+SZcqejK04tqfSq2ci6Yn0Yp+7rnnpldXf+pTn7r44otFPCaTFS20OpMudRZVSRATRCka0vLvmFzU
+woCh11AbJi18iJotHYvFzjnnnD/8oz8CgFOnTn3ta1870HNA6delriO+E04JFPtLlVx5krF8RV3K
+keCCLi+zftq5tFvxwXLttFuzLfljHa+UxY+uS1b0wYMHAWDFihX19fXiEpXFy9DXKhK89Yl/pmGa
+plzJAqpfQzspueuGf3GxislxcHw0jLvTWGdnJ+oksqKjRUiljo6OqI+FIAgCAACTuikcHTkiKJ3f
+QYnJZBJHfrz55puOP/jKlRu4jVBx2U1oRVKa0uwrDz+pOx6LxWJl8TJhS1933XW33Xbb6dOnH374
+4d27dwctZFRo4I24djw73x9qdSY9qVTnifo+iVB56UdTuC8v5d16Hyn97IlJoSdFpaE223VoaKij
+o2Pbtm3Tp0//+Mc/Pn/+/LKyMiEpdSva1IdImxiCNlioqoz6Hywx9SlFQ1pG+rXzg9L4e4q/rnJE
+Oh6Px8t8W7qsrCxeFl+QTH7qU58CgFOnTv3d1/6u50APqJFepa7Y8Up8PXMaFK9cBoei9qpWahKG
+tqW8Mmb4GLf6RSpH9notSvU5rhU96FvRH/rQhz75yU/Gy+LxMveaiNTueDwe8y6lP0ram4DFgAWN
+ZwjL5cYn/RYg3opt2ZZlW0eOHAHpLjhm8K5cU1OzevXqqP9VljpoSHd3d1N2N0EQxQD69ejuEDmN
+jY3YkzK/hjR4vvienh6MQvsxBscvagPJnEY0s1OXlNLsK1kIxUxJJsViZWWon+JV06puWn7TTTfd
+NDAw8O///u8v/+rl0dFRucA4qCclvQbZ9aR8wLp9fkYxGVCMmXblXx/uFz+7IlOUmUt6cnho+Ikn
+nvjRj35kmuZtt9122WWXobR2rwla0WVxOYLl1kh7lxJdFZjaTW3GiMJTooa0/GvmT//zgtJY2SLH
+o+XSXzkbJx6Lx+Kxm266ybel/+7vDhw44Ad1A3jNGhy/iYVUO6306+a+j89tVZ3J1xhA66xoI457
+M1DWR/GxUmWLaHGhnAR3HO4MDAx89f/nWtHXXXfd6tWr3csiVftoxeQxYUO7V5kBgDboL3vXbuEJ
+9X2gtnvM+/btg3wMviKdVDyIb4GC0gRBRI5YiOgGUQzgt5D3uwNWh/X09KROpDC7W0goNF2dM3Xt
+Fg+EpMQfXUlpeIpSLf1V5GUsPq1qWmNj403Lb+rv7//2t7/9zDPPjI6MBhMYUZKJ6SryS27eotYS
+jLuy0BajT8K04hn1pKclHZEcrhjbQshqcWmQkiIl3n///UceeeQHP/iBYRi33npr3dK6iooKV1jL
+dYKSvJRj0q6kBCbq0TVVGfU/VaIkKFFDGsJScdzfRNNw61swNG14TkTPotZWwHgsHi+L33TTTTh7
+CW3pn//85+KDQryDjuI2FM0s/B/cnyGY2KMFh7M5BEM3C+v+7U+6kmZKa925kWPHjolY9HXXXbf6
+ztXBUh/xQBlREPNLo8ViJ38F8lcjH5hYit2V3PcwOJZlpU6k8GDGWSDd19eHed319fVR/8MkoLa2
+Fr+IvMccCIIgzpbu7m6Q1iUiWvBbSKVS+L3kC+GO79rd5QsP/I/tOLYtrENNF4k9BCWlEJO+pJRG
+q4ZLynh8+vTpjbc23nzzzYODg//1X/+1bdu200On3SBwQLmJGG/G6LGnJ0MrqXPXk8Hn/SRz7aMk
+A94NTod99IkTJ9rb25988smKiorbb7/92muvLYuXxcsC8SohKWOmuIBCUrpXmJ1BVRLExBGL+gAi
+A3/NcBEUHkR3ITCBMeY4jmmYjuk4jhPjMa0Bg1gmOHDbsYHDTTfdBAAdHR2nTp361+/866GDh778
+5S/7vfiZ+1lYb2M4hmjQz9DmlfKZAcBgBgfuvsb85wGA8ZyWCe6lhYPYr3jGT9qWPpf7Cd7K4us9
+fvGFF7/97W8PDg4CwHXXXffZz37Wre2Jx9xEd0ztjvtp8PLcv2CnsVwch3KBNA9U8uzpyk+BNAUc
+io3Gxsauri4ypAmCiBy8QWDJCRE5q1evrqmp6e/v7+joGH+TUQEWiPX19R04cOAjH/mI/JImkzLt
+gTGmSUoAcBwHH3AvXhuLxRzuxHnc8XrTlDlljuMAgG3bHHjcjp977rm33npr1bSq5597/qGHH/7v
+//7vT336UzNqZgCAPNuJA2c8ZIwofhw+jwnPHDhjzNeTnOOD3PWkn7DNfD2JgtYtIw/qSS8Z3p38
+In50nMOHD3/3u999+eWXzznnnI9//ONuRrcnHWVJKYxq7L/jd+qWpsDIbcbEd5G3f3AEkZXSjUgj
+Sk8CBrL7UJuAJZdJi/qNsrKysniZ4KabbvrCF7+AEya3bdv2l3/5l0ePHvWDq1LrBe43XJSmFIqg
+saP0CRMdtny/IHiR2cx/FFei7A5U+4crB+Co3kVRfMP5Q5sf+qd/+ie0oj/ykY989rOfLS8vd08b
+L0M8Ln6Upzu4C59h5hiORuQPd3O5sWbb/dHNMurp6YF8FEijY7uxsZGmgxYJqFnz3lGGIAjirOju
+7sZ8JTKkiwf8LiaoTHrPnj1ymbQoRbalxGm5W7VMUFIqqtJwVaVIXcZmY650UiVldXX1jTfc+NGP
+fhQ4//GPf9z+cPtbb73l60auykbHtVSV3tpcaU+rBo5B0ZNZxKT3Me72AIp8DKhW/y2O217X34A7
+Dncsy+ru7r7//vt/9atf1dTUfOITn7jkkkvKZCRJKfrvxFTkwIx8qSkcTRSe0o1II4oHkbtBaSnP
+2zBN010oTCcWi4mSES5VGjuOw4DhipScn/ziF7/44x//eP/+/YcOHfrLv/zLP//zP7/lllsAwHVP
+MgfD3fgMfhwXTkYvcG2A+5I4TvdvfIIDA5alXEcORIPkCJT/5t5W4m7AvbXYX2k5P3r06D/90z9h
+BnVlZeWf/umfzps3T05Dkv2ISh6OMvTBzb6RDWn51ELPwV2+wb0/SLa1OwcLJ0iPMxydSqUo4FBs
+oFOjv7+/s7Nz/AXwBEEQY+P555/HB3SDKB4aGxu3bNmyb9++vr6+cbrRZUSZ9IkTJ8rLy7lsNeP8
+Y89YNbgBYcpFk5QiPMOBu5Y0N1E0mrYZi8WEGRwvi3POcVK0sIqrqqquvfbaisqKZ7c/+9xzz73+
++ut/8id/svSapZUVlagGXb3KmFCV3scCeGFnBv5L8t8cQNGTmfH9BUIxqnmCorG5n+bobSPOBV/t
+7+9/+umnOzo6BgcHk8nk7bfffv7554tea3L82Y1axdwhWKLBmDv7yvATuuXB0eJbKMg/Q4IAoIg0
+BDyIXtjU8McAmoYYgYW/3eXl5WXxMgzJaoHZ8vLyc8899/Of//ytt94KAKdOnfrnf/7nhx9+WLNO
+/cxw75E/h4C75S5ihqHaXULx7WUMR0tjD5WmETiEWYS7gUsHoFjR+NLevXtbWlrQiq6trf3KV76S
+TCbLy8v9s/bAa6Ktg9hQUes0lt1xGHTxCp8FHrxooXnixIlDhw7BuAukhVebdFJRMUEdZQiCIHJH
+9KGkfKXiQdys8xuUFk7b3bt3+4NCJCUW1CcQEC36HCypBY9QQ9ooaRSQobKqqqpqydVLVq9effnl
+lx85erStre3HT/w4lUpJcWVVTKKcU6vzJlpPKkXUjnQActDacd56663NDz30X//1X6dOnbrhhhs+
+9alPXXDBBb6ejEti0pOU5eXlcr9u0aPb/ZsZhmFQOJqIllKPSINULM0YM8DAiLFhMA6GCaawNp2Y
+oy0KjuOUlZUBgHAicqkCZMWKFRdccMGWLVuGh4d/9KMf7du370/+5E+WLl3qr7lMChczcAtdvMC1
+BueukzF0HT8j/iBEb8aV2K3iUJSaoB09dnTLY1ueeuop3HL58uV33HGH60rATJt4TC5i8Xt0x2Na
+XTT2hsChV677MOuUP83fKaZwi9RuTArAcDTkY4I0UCOZ4gO/DuwDl8eYA0EQRI6IjlZ0dygq8H6N
+fTSam5vztVtRJv3mm2/edtttvrXp9Wf1s+Sk5D5NxsiSErzEQ5SU+E5RrOfnQnvhjbKyMowtM8aE
+8gGACy+88JOf/OQFF17wq1/+asuWLb/+9a8/97nPXXrppZWVlaI3uLCrAVw9mal2GgAMMGBMelIc
+EmTQk/4Gnp50bOfUqVO/+MUvOjo63n7nnUQisWLFiiuuuKKyslLrsiZ7FuRxOWEDpCVJ6c2CAZod
+TUQBGdI+wrozTMNxwODADQ4mcM7BBH8CHpqaovUXY6KZhOyATKfTl19+eUtLy2OPPfbb3/720KFD
+f/d3f1dXV/fZz3526dKlhmFgzwax6on1zk/C4d6KDFykjnvHin9l69GF/5GfwWxw+TYgR8jBWxYH
+Bwcfe+yxxx9/HDerqKi48847L7vsMuEadGPyUlUPPoOmNdZIu2uf6S5+fmK312VRP2BpQcfj8ZzB
+XqdxRy+QPnDgAAAkEolxWlk0+Ko4Wb169dq1awGgo6OjpaUl6sMhCKLkoD6URQs2pJyIIVh9fX17
+9+4Vk5/8yK1nSRsOt8E2TRM8g1mkc8u7EhnXQlKCAQy8VtuqDSv2IwxpADCYAeAGXGfOnNnw4Ya5
+c+Y+99xzv/nNb/7hH/6hoaHhYx/72Lz582JmDKSQDIDfUUz0G9P0pJ+MnaOYhGx6UlOVsp4cHR3d
+t2/fz3/+8127djHGrrjiiuU3Lz///PPjMaWdmIhFx2IxlJrl5eWiQFrRk4YZlJRkPBMRQoY0gOpB
+dC1Y8Jp4M44PYrGYPDXKsR2Ig2i06LsD1b+nT59+11137dq1a+fOnejb7u7urqur+4u/+Iu5c+eC
+WL8YcGm9ArFOiRUKVwnR6JuzbAMNpZ2IR+CFneU1DiT/Iud8cHDwsS2PPfXzp7CpGABce+21t912
+2/Tp071RXzHhKXRrWrx1TjabtVYQwABTcBgwUcpyxrUPG6qBmgzvNgCxbduxX331VRh3OLq7uzuV
+SgEFHIqPmpqaxsbG7du3d3Z2kiFNEEThEeHo2traqI+FUFi9evV9990HAB0dHTh8NC/U1dV1dHTg
+NOlZ8VloScv2IWBbHCObetGHwnCGkhKAOxy0/juc85gTs00bOMTjccBoCvPGozoO9tzG5y+//PLz
+zjtv7969r7zyyrZt215//fVbb7115cqV1dOrY7EYKkN3e+6Fqf0ePJ65DlwWkwCQRU/6WlSOM0u6
+0f8bhJMAOOfpdPr48eNPPvnk888/f/LkyUQiceONN15++eXTp0+Px0JC0HqBdDweM72Gtd4AWn/k
+FQ69UiUlUF43EQVkSOuIbBwRZwZwXYLuf4HhIiJnYss9tAzTYAZDMxJ/82+48Yarrr7qxRde3Lt3
+7/DwcHd395/92Z/dfvvtq1evnnv+XPDycLjb84GBZyrr7RN836I62ioz7gLncO0ZkOZgAcDAwMCW
+LVueekoxoZffvHz2rNmxWEyZQKC6D13HoTr4Su6siHVBIhCd3X6Wk7rBs6VFRzdLGuTo2G7L7nEW
+SKM/u6amhgIORYgwpFOpVCKRiPpwCIIoLagPZdGC3o3e3t7Ozs48GtIrVqxobW0FgDffeHPmTTNx
+Oopl22j3ovrQrOjQcLRANO5GSWlyAA6xWEzbHruRgSwpvRzHdDrNGEsbaZSUsVhs5cqVl19++a5d
+u/bv3//QQw9tf3b77bfffs0111x4wYV4nP68qwwzrtyEcFSaZ0KY0NrMVJADM74RDcPDw32H+365
+85dPb9t2cmDgnOnnXH/99dd96LrEuYlYPBYzYxh5RtGozb4RwlLuXyskpSG67kiScuL+jRFELpAh
+7aKVtYCUp+2a0xwM0zAcAyOunHOIe0nIju13ffBWHLS33baKaTa9evrtt99+44037ty5c9++fcPD
+w9u2bdu2bdvtH739s5/57Pnnnw8AwkrGxyA1XQSxSDHIwXz20exS9TXXrfjUz5/asmXLsWPH8OkF
+CxZ87GMfmzV7lmn4/TBEFNpf+yTr2rOb/cVOGndluL02cmzWneEssEraHfrlOLt378aXVqxYMZ7v
+HTuykk4qTuSOMnmUSgRBEGcEXXhAN4hipbGx8cEHH8xvv7G6urpEIpFKpbq6um644QavmRbXvPxn
+RAtKe38bjHExVtowDYMbMYihiY5dZkHtdO1GmNUMasbYxRdfPHPmzGQy+corr7z9u7f/8z/+s7a2
+9oYbbrjlllvmzJljxkyRXAng6UksJEQ96XipjnnRk16YOj2a7u3tffbZZ3ft2vXee++ZpnnZZZd9
+6PoPnT/3/KqqKnf0Vzwei4uwi5fX6LXs9lp0xzAi7ffodv8yDXWEao4ZjgQxcZAh7RNsESFe4sBN
+ML3tpL+9zUzD9JoeGPKk+JgVQ3N0dHTUsqxEInH77bcvX778F7/4xa9+9SsA2PbUtpdefGn58uWX
+XnrpzTffXF1d7X6uCE0z5oDjr4Z+lfQZVo3gMgeq+3Df3n179+596aWXZBP6xptuvPTSS4VJXFZW
+Zpqm7Dh0175yddYf2tJemzGR2o2ReeGPyKWtIufKwAlHGjPmvmQ7tm3v2bMHABKJxDgj0mKC9MT+
+2yLGhIg5dHd3kyFNEEQhoYEORQ4a0jjlO480NDR0dHQcPHjQtjGx25MfnhYxUJwwBwCAgQHhcdGg
+pMSgNIaLUVIyYBZYIslRRG5EHy0Ri8aG1Vbawrg0Cq1rrrnmiiuuOHDgQHd39zvvvPPoo4/+pKPj
+puXLly1bNn/+/BkzZpSXl+NBCj3pKkNv8JV7qGepJ93GPZ55f/r06ffee+/gwYOdnZ379+8fHh6u
+rq5evHjxtddeO2fOnMrKStM03aHZQlLGlG61ZeVl8Vhc1Ei7tnRZPB6LezOvYigpDUML0jCyoolo
+IUM6HMYYABeLGufcYY47A9Dk3OExM8ZjXBSuiCF+IonadSIyAIB0Og3S1Ojp06ffdttt11xzzc6d
+O7u7u0+dOvX0008//fTT3/nOd+rq6pYuXbp06dJLL71UTu12s765tFhkXTc016moiB4cHHzxxRd7
+enpeeuklkcUNAMlk8sYbb7zkkkvMmIkLVnl5uVj73BUw7q96WqQazWbRVFGNSItwdM5RaG2CtHwP
+s902mjiRK18Thqn+rWhBQzrqoyAIokSh9hlFixhIhq1n8rVbLJPes2ePOyTEdmRvvjxNGgAyDZTW
+kCUl547JTQdwMjVqSq+jqjp7WZQ6406445YrW5YFANjtzDCMq5dcXVtbe/jw4V//+teHDh36+c9/
+/vTTT1966aWLFy9esmTJpZdeOv2c6aLvj3tAXNVjOetJV9Zyt/Lu3Xff3b9//2uvvbZ37953333X
+cZyqqqply5Zddtll519wQUV5uWiyjcazHJFWxGRMSW8UxjMGpUzDNEy/NNozoakimigKyJBWULNx
+DMYc8GLOjDFw3AsmTa1jFrMAAE1FbeYTM1gsHRs1Rg3TMNPuk5ZlpdNp27bnzJ3zyaZPLr95+Z6u
+Pa+//vqJEycAALuRtbe3z5kz5+abb77kkktuvvlm0QLNzcyRDvKM4GYHDx7ct2/f3r179+3bJ79a
+UVFxxRVXXHDBBcuWLTNMAy1hdwS0SMJRHYduIk5ZWTw4nMA0/dRu34zWS1lC3YfB0xHGs+3YtuMO
+QHQHIXqzr/I1QZoocrR/twRBEBMN5nXT+OiiRfg48JvKF6JMeveu3cuXL0fVYTuO7diGY5iOqTTQ
+8QjOwYKApDQM7jiOyHeUc61xY8MwLMvinLshCM+GFEmO6XRaPLYsyzTNdDqdTqdj58auvPLKBbUL
+Pnj/gzfeeOPgwYNvvfVWT0/Ptm3bpk+fXltbu2TJkksuuWTmzJmVlZWo5eT0wFz0pG3bo+nR0dHR
+06dOHz9+/LXXXvvNa7858s6RgYGBdDpdVVU1b968RYsWzZ8//9xzzy0rLxPBFbnIWZjQsXisvKxc
+7rwjp3yLCI2b3hjzu+1IgWhDvsgEERVkSOsEK1vAW+A452AwTPHWunNrA/vwt5wDNzwz0mCGnJdi
+27aRNixmzZ41+yMf+cjKlSs/+OCD/fv3Hzt2DKc6HT9+/Ec/+hEA/Mu//Msll14yvXo6dvm+5JJL
+qqurq6urL7nkkuDBHzt2DPO0Dx48iAHngwcPiicFiUTisssuq62tXbhwoag/iZnuyqX8XeZ6CmNx
+qT9ELFYWttKZnuvQMNyG3cHEm1ybjXEQPdLxRxGatm27q6sLtx9ngbSAYg5FS319/fbt26M+CoIg
+Sg7MGSZDumgRX01+DWlRJr1nz54bbrjBD0RLDavlMSu4QZZmYyB38BZjVoWkBMCgNLbUAS/J0X2v
+Jy8Nw/DTv00DIzdoV7uS0jAM06isqDz//POvv/76d9555/Dhw2+99da777579OjRX/ziF4yxefPm
+zU8m58yePXPmzJqampqamunnTBcGLVqwnHPLskbTo1baGk2PDg8Pn0idSKVSH3zwwXvvvffuu+++
+8cYbqVQKD7Wqquqiiy666KKL5s2bN3vObJx+ioekSMSYWRYvE3EaMQImOEFaxGOUkdFZJWXU/wyJ
+UocM6RC030y3ZQMHE0xwbOBeDTOudAZjjOEELLl4A31n6VhamJroPrRsKz2atm3bMM24bdu2bVlW
+LBabM2fOeeed5zjOqdOn+n7b99vf/vbAgQPDw8OnTp3q3ted6VDnzJmDBjbW+mbn8ssvnzt37qJF
+i2bMmCE6isurFR4nFrHgIoiPXXNa/Vs2vN1oNtrSWla3wQB8x2f2WLQwlfXJjY5j27ZlWxijzleB
+tKitIqlU5OTyz5sgCCLvUOFP8ZP3JhpYJt3T04OSw7It0zYdUS4t1UjbXkNvlmGatPyMN2MVAAyH
+OyaYzHEYAJhYLe1353ElJeYzS3+jpIzb8VFzNJ1Om6aJJjQehu0Ri8Wqq6uTyeSpU6cGBwfffffd
+vr6+d95559133z1y9Iht2QBQXl5eWVlZVVUlqvMQ1GAowyzLGh0dPX369NDQ0OjoKCrGWCx23nnn
+JZPJiy66aMaMGdOqp1VVVfmVfV4Jt2Ga8VgMBaTcdkcUP4s4jVYnGIvHTMM1vz09yXButJCUuTTc
+IYjCQIZ0OPKayDkYzODAueF3ZgAAMIEDZzYDDszwBzuLjhEYhTaY4boPY6aRNoy0YRiGbdloWuPy
+h8s0rlyxWOzqq69evHix4zhHjhx58803h4aGTpw4wTl/6623tOM8fvz48ePHQ09hzpw5FRUVADB3
+7ty5c+cuWLCgqqpKsfQVG9oUK51wH8qp3ZhwEzNjZWVl/qbxmGn4exBjCeT0mzEseWJYt6iOFsVC
+eFfDwVfjL5A+fPgwkBVd3KCKzW/AgSAI4oyQ/674wRGJed8tlknv3bsXJYc39Yk70kxpuXXrGUFJ
+6UWngTEwwPAlJRIDALDB5py7kpIBeKOw3ATvtGkww7IsjEiPpkdtyxZp3pZt2Zawpm3DMMrLyxOJ
+xAUXXLBkyZJ0Ov3ee+/19/enUqmBgYFTHrYX0cEHACACwij0EonE+RdcML26+pxzzpk+ffqsWbPO
+OeccEXmWJaWoEPQDy6gtPQGJxrNrTqu1gbG4KyljZsww/dJorVk3AIWjieKCDOlshHoWTcMUU+AZ
+Y7Zhi/URn5QH3OHfuCJYlmUaphWz0um0bdqxWMyyLFz44k7cXfkc27ZssVgnk8l58+aJ5RtbUAwN
+Dx0/dpwDP37s+PDwsDi2+cn5DFhFRcXcuXO13o+yZSt7DeW1TxQ5izgzOg7F2heLexUu0vpoGIYw
+p904PBOOQ7e2/IyLXXCwhGZL21LDD8dxsGI2mUzm5VumvO5ihsJBBEFEAvrv6AZR/OS9cffSpUvx
+we7du5cvX+42a3GcmGJGq6Il6zRpgWwE4khqA9x2ZW7/LMM3uQ1mGMywmAUMDAvjsl5UxquUtmM2
+hqZdSRmzcLIJxpMxm8+2bc55WVlZZWXlhRdeyDnH1EiMMztyAxrH4ZyLiAjKxfLycpx4KmUa+pIS
+O4qLmAr+KCSlGTNdE1oym7W/Xas7HguRlIGMburRTRQbZEifgZDR825yDnPtZq8XojCtsX2EeCP2
+NkQzGNNmHNPBdGXDMJjjvR2bW3PGDMaAgeOvy9h5QjyeVjWttraWc167oDYwpRDAK78BqU2auxgZ
+zA9E+/814jF/IUM7OR6PAwBugIug51+UmkZIk64M0+8DAZ5DAdSbSl7WPrlAevwR6bzffYmJo7Oz
+M19N2gmCILIjsmAoZamYmaCUJXGvwTLpPO5Z1nWMMcYZDmQJlZTuW/Bvh3POY2YM4sCBO6YjQiyG
+aRiOISSlnwNoMOAADFBVipkynPMYxGKVsfLycl+pSn1/QvVbSGwmq6TEjeWXfLtahKDDJKX70XJT
+3zATmsxpokggQzojYqULjgEM2VQaCo8RWcNruIh5KZZlmTETAKy0ZVqmHbPTVtq27JgVc/NqbN+P
+aDuuB9FzEAIAcDERIRC/VQ9ErKEG8/KC5MB4aAaOGTNxXp/bNjEWBwB5UIG/8EmxazMmein63RRB
+jEBk2Uqjc0QfOOEVSEM+DGm8+1LMs5ihcBBBEIWH8ronBRPn5mhoaOjs7MQyaW0Y59h2KEtK8ERR
+uKrMLCkBQFOVOUpKANBUZY6SEuQeaYbBWK6SEgA0VZmjpMRzlFVlHiUlQeQdMqSzEW5L4/BAUBe+
+WExO5/asaDdUa5pm2rLMtAkAlmmZlmlbtmmZju3gKCx51bMdmztcpNmIicpycQ6EjStQVl5p4pSY
+vIdtw0XtiVj1sFuYv+TFYrF4HADiktcwLsWfRScJ3Yo2mMGUdO7clzz3HLl3xviXNLwRh2A5PG8F
+0kDTTSYD4tvp6+ujiDRBEAWmsbEx6kMgzsBETLJcunRpZ2fn3r17He7O4BRNW1CXGA4HALfrGAMD
+jDNKHbVSWlGVOUpK8IxMoSpzlJQAoKnKHCUlBFRljpISZENaLoY+k6QEAE1VkhVNFDNkSJ+BEFsa
+DABwDHfhY4zZYDPGbAbKWmMYzGCmYZiGacZMY9SImSYAWJYVs2KWZcXsmJW2YrGY2+bBsd1VDz2I
+uORxNwkcPMeheAzqwievL3LtCgAwNwHHW6a89Bu3LyJ6ED2/oEiwAQDRa9F0W3L72wv72TRNcbK4
+3mkHc3ZLHgfhOBCeUn/ldzh3+MGDB0EqYRoPFHOYFNTU1PT392NnOIIgiAJAhT+TgolLWWpoaGhr
+awOAA28euPbaa1GJCeMTpIxohzsGNyA3paM2svVVZY6SEgA0VZmjpARhSHuqMndJCaqqzFFSAoCm
+KnOUlOBlkpMVTUwKyJA+M0FbGryFz2Qmtt3G9BtHlKd4NSS2YeN/TMPEdojpdBp7Qti2bcUs7AaB
+XceE+1BurCXSitxCa+6tesFMHG8ilxheLexqeSiX7D7EfmNawTP2fgAApWOECjYVE+k9wEBe7+Ds
+Y9HBJ8Xpi4th2/Ybb7yB87HzGJykgEORQ6OkCYIoMOi5o8KfIkdOWcpX/1FEaIzdu3fX1dVpasTP
+91bJMlBaIEekNVv6jJISADRVmaOkBABNVeYuKUFVlTlKSgDQVGWOkhLfqKlKsqKJooUM6ZzIZEtz
+4KZpOo4DDJjD/K21tcYyHNNJWxYA4Ky/dDqNwwnxf3Hb69qN7kPuLti2YzuO6/uU1z7whi4onSG8
+cc1isQPXkGaiVhsThOTFy/MNxgzDwMUOp/8BgJgHKJoxyuncwisZ7ANxtkueVqLD3cxu9Pr61dEc
+eB4LpCngMLmg74sgiAJDhnSRIwzpw4cP59eQBqlMWrSMBRwpgqOwuB/LBU/G5C57QrMdzygpQYRG
+PFWZo6QEAE1V5igpIaAqc5SUeKiyqsxRUgKApirJiiaKGTKkc0X8DouFhjGGWTGGYTDOHHDcnBzD
+cBhzOHfXPtMwDANn+gEALnamadqOHbNidkxe7WzRHELyHDpY36LPMAz4D+Ueh659ayg10vKsP1Md
+I+1OL/Asajci7T1vGIYZixmMGaZpMOanc0sfJ1+lHNc7xXgGz1nglu9g1hHnDndstzrasfNZIC1S
+halGusjBiDSNkiYIomDgkEWiyJnQbpR+mbTtYKW0Y9vciQl9AgBYLO0wB4t7Iec5WKCqyhwlJb4q
+q8ocJSXIEWkvVJGLpAQATVXmKCkBQFOVOUpKkJqEg9RzhyCKEzKkzw7NnMYpBeibNJnrRzQcbjMw
+HM4YOLbDHGYwwzAN0zYBwF37TMe2bSfmKJ0Vbdt2HI4F0l5QWi5iEathlhaL2ogC8aTwHTLDMKXi
+FvQgBstdAPs9SP/DrhK+1zAsnXv8653co1vB4ZxzFDd5KZAW9Pf3T8w/FiI/9Pb2Rn0IBEGUFslk
+ciJaWBH5ZUJv31gmPTg4+MYbbyxZskSTJO5G4+jjjYhMb8hBUgLoqjJHSQnYzNVW87pzkJTgDVKV
+G2jnIikBIFhEnYukhECfs4n7igli/JAhfdYEQ9Pg5eTIfkRc6hzmMMfghoP/A+y4aJtijTNtExc8
+OZ0bu3Zz4KInBOBiB649Cdm7dhvuKEK/95jBGDDRYlEsgtLiZ3iZNu7/AMA03CVSLl/Rcrnzst4J
+ZyjnSuzdS0NyB4Ltf31/HgukqQX0ZAGlUn69JwRBEFlIJBJRHwJxZrq6uiZu50Ik7Nq1a/HixUKM
+mI4Z0qaL51QgHYpsS2eXlACgqcocJSXg+CtJVeYoKSGgKnOUlOAZ0qrxnKukBLKiiUkCGdJjJDQn
+hzEm/IiMMeYwBowZBnfchQ8ADMfgJrcdO+bElAbdjiMWPtFWUax0IEWkOa54QQ8iYzjEHkSLRcMt
+dJEHCRjSKiYHooV3EBc7AHC38opzvAoZwBlXY0vnzg6WHokLy934tJt3JG6Z+bWBKeBZ5FDKAEEQ
+kUBtDicLE+QZxzLpAwcOcD9JThEq4GmVcX5QjpISMONaUpU5SkrASV2SqsxRUgLoqjJHSQkAmqrM
+UVICFUUTkwoypMdOaJq38CO62xjMcLjD3IUPAJhjcMcxHINzjvUtpmmi5WzbthPj3HE457bjgNpW
+EbiS7QwZZhX4Xj1veRItIkx3HTTcohRDnQfoOhrdxQ4ADNX1CIEOEDBul6GfuO245+hwbuMNAIvD
+pTMeHMhzv+5EIpFKpciQLnImNOZAEAQRREw/IoqZib47YJn0kSNHhE/f64bqWqe24zDDMDmgrZt7
+gXSQXCQlBFRljpISD1VRlblJSpCEn8i+zkVSgj/FSjGhzygpx3wBCSISyJAeL0E/oliYTNPknDvg
+iIUPAAzTwMYV3OGGYcjB51gspkwm8LyGfsKzNGAZMq167gQspeGhlpajPBDNtw236ga3BAD5XRO3
+3oV4c7GNBxcP8XI5Bw4cAIA8duasq6ujKrjJAqXiEwRReHp7e6l3d9GC+Up579ctOPfccwGgp6eH
+C/++K8MAUwVB7Zk65uxuQXZJic/LqjJHSQlqeaDoPON+UvY50qqqzFFSAtr8kqosjKQkiAJDhnQe
+CFZNi7QcMd4AFz4A4A7HBRCXM+EjFMufXMSiVbD40xe4+3nyQeBfYgiWb0gbDLsvKguZ3+BBuBWZ
+2zzMYEomj7cfLfEmn+sd9wuNuNdG0qv5sbnDHduxLOvIkSMwAaVrFPAsZihfgCCIwiNuNGRIFz/z
+58+foD2L3hy/+93vamtrucNxNjOGScATLW5XMG5APmRRFkkJ0gBqVJU5SkrwmopptjScSVIC6Koy
+R0kJniGtGd6FkJQEUUDIkM4bsu8QvDVCM6cBgDPOOTe4wQ3uOI5hGq77kPuT/eRsZ8zAQbR2kXIg
+V5745y9JDAD8+hN/GcRhgOwMPsJCrnfyDEPlee63lDx27BgA1NXV5etDMWsr7+dC5BFhSE+cVCII
+gtDI442GmDgm2g8u/hkcOXJkwYIFqNQ0lCbe+SNUUkJAVeYoKUGe/OKpylwkJQRUZY6SEtTMbTKh
+iakKGdJ5JljlAupqxcFtVeE4rjcRDD3lxuFa8YqXSqSGpkM/XcrxDkSnGZNWPhaawo3v0gxp+dTy
+uOSFmc1eUhLHP66v13acN954A7fJe0SaellNCiYueY8gCCITXV1djY2NUR8FkY2Jm+kg9Mabb755
+w403ojZxuF8jjbar9q7xJ3gLNEkJAVWZq6RU3uO/C84kKZW/PX2Yi6QEz9jW3xW2c4KYvJAhnX/k
+dUHOzPGrUBhgJ0YAcJjDOWcGAw7cwCXPMQFERrfDJQ+oVMcSXPiCNS1MikjjSoc/eosgiPwcAJCb
+k2lL28Std/4K7vkKQPTu5iDSvIHzo0eP4lvyXitLqd3FDHXNJQgiEurq6rq7u8nTWswUoPYHG3cP
+DAzIzVF9KxT8AAl4kia/Yknbm6Yqc5SUEKoqc5CUEFCVuUpKyKgqyYQmphJkSE8UYqUQtqL8jAEG
+MOCcG6bhd9VyHAAwwBAroLsl4Abuf7InETGv35hYo1QPojLJwH05QwgaJi6RW+3PIZK3OTbw4AAc
+HDcW7Y5zeOPNNyDf4WjqyzpZoDRLgiAKDI2SLn7QkMaWYBME/jM4cOCAO1zKnSjl1dxx4BihZo4c
+nZZVX16QzVpZVeYoKQF0VZmjpISAqsxdUkKYCU32MzHFIEN6wpHD0SB1YgQRj2Xe8wYLFq5wqW5F
+3kmmz1IehDWK8J7KttJpDwqAF5f2K3lE9hEyODgIE2ZQdXV11dfXF+xkidzBcBApWoIgIoFSloqf
+iUvtBq+q6OgxNyfOy4n2hjCrZcaFQUtyzEVSgpQAKMfP3XM6k6QESVWeraQECkETUxoypAuE5k0E
+dfmDwAqYxX4+c0Q6dNkqypXOPVHpBEVvDNFzEnt3Hzt6DPJdKCv6V1HyXtGCAQcypAmCKDDUjbLI
+KYyPA3XCsaPHsF+36IcdLDAWeqYwOkpOcoQzSUoIi8rkIikhk6o8k6QEsp+J0oAM6QgILn+gLkOh
+q5tckOM9Je9UfhiyeGVa0YphpXOLoqUzReNadMXgnPf09EC+DSrqXzVZoG+KIIhIoIh00SI84BNa
++yPuPm+++eaSJUuUbl1qnEORZwUkR0kJWlVdzpISwlRlMUtKgigkZEhHibziaLnfELYS6YsgC9lb
+8HHoh0KkK53sysVaI1zNvWbdDnfkvuUAfKJSuxOJRCqV2r59O/VlLU6w2diElsARBEEEwVBkf38/
+jZIuToSPY0JTloTqGDg5IPz7OGIEPNFiOw4zDJP7s6nwLQVWWdklZfB4cpeUWc6lGCQlQUSIMf5d
+EHnBHyJgGNpU5+A2YjOZ4FuYirZZ1GeswLn7BzuNgTypwXF2796Nm+X9ftnU1ATUGrpY2bJlCz5Y
+sWJF1MdCEERpISZE0A2iOMHvJe+DPDSE6ujq6hKTRHwT1O2QGk0sOgtBrZhdImaXlFneUpySkiAK
+BhnSRUr2BS5HQtfB4kGkQnFhRvu2s8LJgZP4lrzfMtHZ3NXVVYApGsTZgjopmUxS126CIAqMWHnI
+kC5C+vv7C2NIi4/ACSMakkXtt/IqcO+xHMm7qoz6hAiiKCBDmogUDqJph/K0ZGM7jtNzIP8F0ghG
+pEEKfhLFQ8F0EkEQRBDMhSFDuggRt2xxE584UHsc7Dnoj+oENS4NAChXuBNRoTRBENFAhjRRFOiB
+aLwjuY+dgYEBmJiGIolEQgSlo74GhIJIEyBDmiCISEAjrb+/nzytxQbespPJZAFaUeJHHDt2TFIl
+OD2ah4SmCYIoJciQJiYBx48fhwlr3YxSacuWLTQEq6goZMCBIAgiSF1dHUYjydNabOANojB3B2w7
+d/To0XHviSCIqQYZ0kQ0aNMX3WbdXrU0iJnSwIHDwYMHYcI6c4pGVpS/V1Tg10FWNEEQESI8rVEf
+COHT1dWFju/C5CsJJ/6BNw9gpbSvXrzmLnKRWjDrmyCIqQoZ0kRkcK8UWkwy5MCVfCnuYIL3xKV2
+427xNkmGdPHQ39+PISDK6yYIIkJwCert7aWgdPGAfo1EIlGYG4TQHidPnvRUiT+skzue9x//F91A
+aYIgCg8Z0kTRoQWr9+zZg48nblYkDcEqNkT8hwxpgiAihIZgFSEF7kMptMeePXu0sDNFngmixCFD
+mogM0zCZwRhjpmGahunYjmM7tm3jX+l0Op1Oj4yMiNLlibtror+ZYg7FA+okkSxAEAQRCSLsSYZ0
+kSDu1IV0s+Jn2bY9MjKC4sS2bTNmuhimY7vVaY7jRH2FCIIoHGRIE9HgunK5/9jz7vr9uvG21NMz
+UbOvBDQEq9hAzSrK1wmCIKJCGNLUkLIYiKQPpTsB6+BBzlWJouoXN0DNgSLVBFEikCFNFJrwqdFc
+LTHiHFt6OI4zODgIE1YgLaDs7uJBdFCnTmMEQUQOeVqLCgxHi4bqhQFzo959913XhPZ1itTkJWyy
+dNRXiyCIiYUMaSJi3KaX4Da9lOPS6Pk9duwYTNjsKwHGHMTsYiJCUCeJEd8EQRARIoYVU+1PMVDI
+wVcCMQHLS+BWYtFu2zHwx44QBFEikCFNRImU3Q3AAbjSwMNxHOCAlu1E+55FtdWDDz4Y9VUpafr7
++/EroHA0QRBFAi5HDz74IHlao2XDhg34oMCFP8KVf7DnIFZBS25/oV4AgPK6CaK0IEOaKHYKk9qd
+TCaFVKJCuAi57777KK+bIIii4q677sIH9913X9THUroIN2tDQ0OB85XEx+EwToIgCIQMaSIa/Lpo
+qaoIO16KfmMcCjH7SrBx40YA6O/vFz5vosDI4WgafEUQRJGQTCabm5uBgtKRItysLS0tBf5ooUD2
+7t2LDVwwu9txHDn+zL1wNAWlCaJEIEOaKDTamGj8D0gZ3f5PDhfe3wKYVSSVImfDhg2ok9CpQRAE
+USSsW7cOrSkKSkeCHI6OxM0qPlRq5wKg5Hi76d1SkIDMaYKY4pAhTUQD9+xl8O436Nl1OOfiAecH
+Dx6Eie80Jli3bh0+IKlUeHp7e1EnNTc30/hogiCKCtnTSl3HCo8IR3/961+P5ADwrtTT0yP0iata
+uDcNS86zo5ZjBFEakCFNRIDfnwOUPCgx/MpxHODccRyMSGPDzAJAQekIEc4L4c4gCIIoHkRQmsp/
+Ckxvby/eIJqbm6Oa5oBf/bFjx4Q+4W4mt5JhR6OkCaKkIEOaiJgsQ6Q558eOHwOApUuXFux4Nm7c
+SFKp8FA4miCIIieRSKCndfv27du3b4/6cEqIYnCzogF//Phxv5mLNEoazWlK5yaIUoMMaaKgaPcY
+MXdRwbOoHe682v1qgY9QSKUtW7aQVCoY6LZIJBJUHU0QRNFCldKFp0jcrKLfWNeeLkmn6OpFGyVN
+djVBTG3IkCYiRfQa825KILXuGBwYxK0K3FmEpFKB2b59+5YtWwCgubm5AO3ZCYIgxkYikcCgKAWl
+C0YxhKNB0iGDA4NytzFRquZnc5PtTBAlAxnSRDTIPboBQLh2He4AB8dxHO709PTgxgU2rih/r8Cg
+ThIKlSAIomhpaWnBuCiV/xSArq4uDEeLyx4hKEV6enoc7jiOAxwcuYW3FxgACkQTRMlAhjQRAUom
+lPYzB9H98siRI7h94ZuLUFC6YAhvBYWjCYKYFKDLT9h4xMQhqn6Kwc2KUmRwcFBq2q3qGTWrLurj
+JQhiwiFDmigoIXcXvTrabzx27NgxKODsKxlRrCuyjokJgsLRBEFMLkSxLnlaJ5Ric7Pil3706FFX
+o4Cb2B20pREypwliykOGNBEBfrtLbfwV9hiTvLxQwNlXGkIqbdiwAcdXEnnnvvvuQ50kmqUTBEEU
+P+j46+3tpQTvCaK/v7+owtEgVZmp2XMgnpT/plHSBFEKkCFNREnoEGn06TqOc/z48WgPD4PSvb29
+a9eujfpSTUG6urpQJ9XV1WFROkEQxKSgubkZu08JbyCRXzZs2NDV1QVSpVWRcOjQIRwlLeSKPP4K
+qECaIEoJMqSJQiM7arUh0vI2nPOBwYFoD7WpqamlpQUAtmzZQmGH/NLf33/nnXcCQCKR+D//5/9E
+fTgEQRBnx+bNm9HAu/POO3t7e6M+nCnFgw8+iPXn4i5cPIgaaf8p7osYqfkLWdQEMfUhQ5ooHPJN
+RR63KIqLsFm3m93tmdZLly6N8Jg3btyI/UUo7JBf1q5di9JTXGGCIIhJRCKR2Lx5M0huQSIvyMlK
+mzZtivpwfMStigPWoHFs3631T6VR0gRROpAhTUQM98ZIuz+qtdPFgBx2oGLpvLBhwwZs4dbS0kJJ
+3QRBTFIaGhowXipsP2KcoFeiv78fk5WKKqlbrpGGYC8xrpvQBEFMeciQJiKCgz90UZqBhS/ihMYi
+IZlMolOcwg55Yfv27djqtq6uDqvQCYIgJikbN24UxdI04mH8TI5kJQ6O47gPlVmeXtPuohEwBEFM
+KGRIExEgDGau1UUDx/sTth2LvNmYQJRpbd++ncIO40EujcasSIIgiEmNyFoSRiAxNoQzorm5uZiT
+lY4ePYrZ3XKXVPEqF4OxCIKY6pAhTRSaYE6UXF6E5UYAUAxdu2Uo7JAXRHr8pk2bIpkQThAEkV8S
+icTWrVtBSkuO+ogmJXJpdJEnKx05cgRAn9apqRogW5ogSgAypInCod1j8BGE3W+44/8Y1RzpIHLY
+AcdyEGfF2rVrsWFbS0tLU1NT1IdDEASRH4TtR8XSY6O/v/+2224DL1mpqEqjBbIakVWK+4wXidZU
+DZnTBDGFIUOaKCic690sJUeu59cFt4M3blM8cUu5R+ttt91GtvRZsXbtWhxn0tDQUOTRBoIgiLNF
++AcffPDBtWvXRn04kwm8pRZ/spI4MGmEtD9HOiQuDZysaIKY2pAhTUSAMijCf+A97xRvTlRDQ4No
+PEa2dO4IK7quro5KowmCmJJs2rQJ+2ORLZ078s1006ZNkyJZyRUtjhQHUHum6g29CYKYopAhTRQF
+UsMOt0B6cHAw6oMKp7m5mWzps0K2ordu3VqcOXsEQRDjBIulyZbOHc2KLuYGYzKDg4OYNOeb0ZwG
+XxFEKUKGNFFQxL0mOIBR3oZzfvDgwagPNiNkS+cOWdEEQZQOZEvnziS1ogHg4KGDITFnVcbgMxSX
+JoipDRnSRJT41dFa0ZFEcZpeZEvnAlnRBEGUGmRL50JXV9dktKLlu5hQLH5Gd2AUFkEQUxsypIli
+B+VIESLb0suWLUOLkUDQv0BWNEEQJYhmSy9btozmS8s8+OCDk9GKhiIWJARBRAIZ0kQEuBVFEJgj
+LWqlYXKUG6EtLWZirV27liaIAsD27dsXLlyIk64aGhrIiiYIotRAWxpbZ3V1dS1btmzLli1RH1RR
+sGHDBnGvnFxWtI8vVSBjv+7JoGEIghgnZEgTBSI02Um72cgdLyfLPai5uVmOPFCa94YNG8Qgk5aW
+FrKiCYIoTXBiIk776+/vv/POO0t8xHRvb++yZcvuu+8+AEgmkzt27JiUVjQAiMbdgUTuUBOakr0J
+YqpChjQRJe7NRW3IgVZ0d3d31EeXK5i6jIIA675KM80blSKKJFlBEgRBlCyyP/G+++4TfsZSY8uW
+LcuWLUNHc1NT07PPPjt506QPHToUbCQm9VKN+vgIgigUZEgTUcBDHrtZUpOzUUcikdi0aZOIPKxd
+u7bUIg9y7mJdXd2zzz47KcaBEgRBTDQNDQ1dXV0NDQ2gVr6UDhs2bLjzzjtFptLmzZunQKaSX5Em
+6xahXyafkCEI4qwhQ5ooNOE53nLvbqnvJSqPyUJLS8uOHTuSySQA3HfffaXTYEY+WfkiEARBEOCV
+TLe0tIDXi7FEnK14siJT6dOf/vTkuq1rLF26FAJDRrxB0pTRTRAlBxnSREGRyor89CelNFrabDIi
+B2MxSLthw4YpnMi3fft2oQjlsDxBEAShsXHjRhGMve+++6Z2aLq/v3/Dhg1y48murq5bbrll1apV
+a9asSaVSUR/guAjKFaXZGAdN1RAEMSUhQ5qIFHkAo9r7MuojGztYHiwiD6iWpp45jSb0bbfdhiJJ
+LhQnCIIgQpHLg3t7e+VVdCqBJvR9992nNZ7EcHRHR0d9fX17e3vUhzlGYrGY0qrbCwxwLUpAEMRU
+hwxpotBw74aT8WbDAQCOHz8e9ZGOi40bN3Z1daFhKcxpTG+b7PT29t55551C/GEgeseOHZO3cwxB
+EETBwIbVmzZtwhIYzSk52XnwwQdlE7qhoWHHjh0iUymZTOKdIpVKrV+/ftWqVX19fVEf8lnT09MD
+kLUKmsLRBFEakCFNFIhMdxR/gjT+6FVKnzp1KupDHi/JZHLTpk1bt25FH7zIc5u8Pb17e3vXrl27
+cOFCbCqWSCRaWlqEv4AgCILIkebm5q6urk2bNmGmN5rTa9eunbydNdCEFqfQ0NCwdetWMR5SsGLF
+CvG4s7Pz1ltvbWtri/rYz47BwUFRHS2elNu7aJBFTRBTFTKkicJxhlYcUqW0eB4be0xqhJhAc1rY
+opPLnMZW5OKwhQm9cePGKdB8lSAIIhLQnG5pacGFVLNFJwvbt29ftmyZOOylS5fKdz0N7clUKtXa
+2rpy5cpJMfNSOAWU3i5qhl3IWCyCIKYoZEgTBcXvcqk6bqXOHABSE+8pgzCn0TWA5vSkyOULBtLJ
+hCYIgsgXiUQCS4E0c3pSdNYQeek4IBrzsJ599tksrbkbGhqC947u7u6VK1e2trYWeRMyceS6YuF6
+XACmopIhCEKDDGkiSgLm9BTv0tHQ0PDss88GS+Puu+++Iow/bNmyRWsYg8ETMqEJgiDyizCnRaUM
+dtZYu3YtltIUFb29vQ8++KBc2o0mdI6VPjjYIkhbW9utt97a2dkZ9fnlTCCXe7J3SyUI4qxg9AtP
+FAbHcTjntmPblm3btmVZAJD2GBkZGRkZGR4eHhkZGRoaOn369N133/3uu++2tLRM1XFK7e3t999/
+v9xnpb6+vtEjqqPq7+/fsmXL9u3bt2/fLgdDmpub161bR9OhCYIgJpq+vr77779fa2q9evVqvDvU
+1tZGdWBdXV14g8D4M5JIJJqbm8/qTt3e3r5+/fosG7S0tKxbt64IPbadnZ2rVq0CgG9961tXX311
+ZWVleXl5RUUFAJSXl5eXl8c9YrGYaZpmzDQNkzFmGBS4IogpCBnSRIHIYkiPpkdHR0aFIX369OnT
+p09/+tOfBoApbEgjbW1tHR0dWm1YTU3N6tWr6+vrV69eXVNTU4DDCJVHAJBIJJqampqamrLk6REE
+QRB5B83pzs5Ora81ulzxHlGAw+jv70fX6pYtW7RU87q6uqampubm5rO1eFOp1MKFC7Nvg/MgMsWu
+o0IY0t/4xjduvPHGqqoq2ZAuKy8ri5eRIU0QpQMZ0kSBGJshvXnz5mK7j04EqVQKzemOjg6tQkzE
+qCdCM4ngs5ZYXldXt2LFiqamJppoRRAEES14a3j++edDXa54g8i7y7W3t1fcIOTncRY0Mp4cpRy7
+izU1NW3cuLF4kqGEC4AMaYIggAxpomA4HrYdMKRHR0dHXUN6eHj49OnTp4dOr/r0KgDI1PZzCtPZ
+2dnZ2dnR0aFFIWprazGjDy1q+cfsEqqrqwvDCKiH+vv70WwO9jnDyPM45RFBEAQxEaDLFe8RQZcr
+ANTW1uLtAH+sqak5owcWbwS9vb14X8CkJPGjIJlMoms1X67ttra21tbWXLZMJBLr1q1raWkpzEU+
+IzNnzgSAb3zjGzfccENVVVVFRYVvSJeVlZWphrRpGh5RHzhBEPmHDGmiQMiGtGVZtm0DAIaj06Np
+MqSD9PX1iTD1GTcWgqm2trbfQ8vTDiWZTKLxXAqRf4IgiKmBuDtoLtdQhL+1vr4e7wvCwZodvDus
+WLEi79lJ2KY79+0bGhq+/vWvF0OSVHZDOo7/i8dN00RbmgxpgpjCkCFNFAjNkMaItGVZwpAeHh4e
+HhkeHhoeGhr6bd9vv/iFL0JpG9KCVCrV2dmJWXD79u0DgO7u7rHNCKmrq0skEolEIplMnnvuuRMh
+jwiCIIiCgS7XEydO9PX14X1hbF2vE4kE3g5wRuP8+fObmpomtN1XfX19Ll4AmWJom4KG9Be++IVP
+NX2qsrKyorKiorwCACoqKoQhHfMgQ5ogpjaxqA+AKGlCZ0oDwPFjx6M+tCJCdPwKvoSCqa+v7/Dh
+w/gAhRQqIQBAN8T8+fMpW5sgCGLqkUwmQ9OexX1h3759J06cAM8PC5KpjPeFqLzVDQ0NZ2tIt7W1
+Pf/881//+tcj97AfP66rFKFkKEBFEKUDGdJEMcHFf91H8+fPj/qYihoUE5FLCoIgCKKoSCaT0drJ
+Z6ShoUEb8ZUL3d3dq1atinA+VjKZ7OvrE3IFyGomiBKGUk2IiBG+WzSeOedcui9RHJUgCIIgph7j
+sfDb2trGYITnBeHf5+CFoMHXLRSOJoiSggxpoqgZW60XQRAEQRDFDFYtje2NmzdvjqqPN8kSgiAE
+ZEgThUb23fpPak5ccukSBEEQxJRmDN0u6+rqnn322SIcM6HJmFCpQxDEFIMMaSIast9jlixZEvUB
+EgRBEAQxgZytPdzS0rJjx45iqPnKrlLIhCaIEoEMaYIgCIIgCKLQiI5oZwTTuSOffUUQBCFDhjRR
+RNDoCIIgCIIoHXIMSn/nO98ptnRuUiwEQZAhTRQ1Yu4lQRAEQRBTjBzLpP/2b/82lUpFfbDQ3d0d
+9SEQBFFEkCFNFDUnTpyI+hAIgiAIgpgQmpqaMo2DZoyJx319fevXr4/6YKEYjHmCIIoHMqSJKMnS
+kGPBggVRHx1BEARBEBNL6EDpurq6f/3Xf5Wf6ejoaGtri/pgXWprazO9RJ3GCKJ0IEOaKFKmTZsW
+9SEQBEEQBDGxBA1p7M595513asOiW1tbiyS5urq6OupDIAgiesiQJqKEARv/TgiCIAiCmKTIhrTW
+nXvjxo2amb1mzZoiz68mYUMQpQMZ0kRRU+T3S4IgCIIgxkMymcSWY3V1dc8++6zWnXvz5s1yEXW0
+xdJ9fX1RfTRBEEUIGdJEESF3FkHopkUQBEEQU5sVK1ZgOndwrDTGqOVnIiyWPnz4sPZMULcQBFE6
+kCFNFB14W5o9e3bUB0IQBEEQxISzceNGkc4dpKGhQSuWvv/++yMslp47dy6QCU0QBBnSRFQwYNnr
+iKjZGEEQBEEQECiWTqVSX/nKV6Iq/jqjo5/KpAmiRCBDmig0oSa07tmlexBBEARBEB5asXR3d3dr
+a2skR+I4TlClaDLmjNECgiCmAGRIExGTPTmKmo0RBEEQBBEslm5vb29vb4/6uBQo35sgSgoypIli
+geH/mOLELZKJkQRBEARBREuwWLrAk6X37dsnHgvBQsFngihZyJAmihHGWFVVVdRHQRAEQRBEEVEM
+xdLTpk2jyDNBEECGNBEteCtijAXvSQtqa6M+OoIgCIIgiovIi6VDm40JJUM2NkGUDmRIE9EQNJ4x
+R4ruQARBEARBZKJ4iqWFbgnqmWgvEUEQhYEMaaJwiFuLfI/JVFlEtyGCIAiCIIJEXiydCSFpQgUP
+QRBTDDKkicLCzvCk59r1n+rs7Iz6oAmCIAiCKCIiKZYWgiQ0EB2icMiIJogpDRnSRJFCPTAJgiAI
+gshEZMXSJE8IggAAMqSJYkCzmdHLe9XVV0V9XARBEARBFClRFUtffdXVoU1SKQBAEKUGGdJEBISU
+DDFGHS8JgiAIgsidCIul5bEj2HQs+CpBEFMbMqSJKNF8usFbEUEQBEEQRCainCwdOn+EZAxBlAxk
+SBMFRQy4CpjQwrkL4I2UwJf27dsX9VETBEEQBFGkFKZYWg5064pFNZ99nUPJ3gQxpSFDmigcIQVF
+TG/YDXKiFAAAnDhxIuoDJwiCIAiiSClMsbSIcjOtGE1NpqOZ0gRROpAhTRQvyWQy6kMgCIIgCKLY
+KWSxdG1tbdSnSxBEUUCGNFEgMjlltcHR+ACfq6qqivqoCYIgCIKYBBSsWLq6utoNR0uZ2+GTpfEl
+CkoTxBSFDGmi0DCvqChjXzGmbkkQBEEQBHEmCjpZOotCoREkBFEakCFNRIpSaOTXHclu3QL13iQI
+giAIYjIzocXSfX19+IDpKF3HaPgIQZQOZEgTBUVqJ+bfa4ITpGVDWty6CIIgCIIgsjBxxdKHDx/G
+B7JECdUt0iASMqoJYipDhjRRaDJVEDFg3nQs18c7a9asqA+WIAiCIIjJxIQWS8+aNcuPQwvlAoxl
+n0tCEMRUhAxpIgpYyOPgfOnq6uqoD5QgCIIgiEnGxBVLz549Gx/IyXS+zSzkDRnRBFECkCFNRIl7
+62GK49Z18XrPTND4CoIgCIIgpiQTUSwt10hrukU8CUAl0gRRQpAhTRSI8IxuYMr0CLlYmsGCBQuA
+mo0RBEEQBHGW5L1YGtVIbW0tsJAcOkRTNe6TZFsTxBSFDGkiAtyCIlBadATLpGfPmYMbdHZ2Rn3I
+BEEQBEFMJvJbLI1SpGraNL1AOjBtJNScJghi6kGGNBElvv0sPRa3otraBfiAgtIEQRAEQZwt+SqW
+FjpEKBO5N6oboAYWjFETBDGFIUOaKChSEZF6s2HKNowxgxmicTeVSRMEQRAEcbbkq1ha6JA5c+ag
+PtENZlXG4DNkVBPE1IYMaaIokNOjAMAw3H+ZaEjTKGmCIAiCIMZAXoql9+3bhw+wazeqFJFI50cJ
+CIIoJciQJiJASYXyH3jPG97zwJLJJFBqN0EQBEEQY2X8xdInTpwAgGQyKQrQvLC0a0pDpoFYBEFM
+XciQJgoKYyFtuqVOY36ZtGEYIi5NzcYIgiAIghgz4yyWxoh0VVUVihOp35gISYe1HCNzmiCmNGRI
+E4XDv7uIW4vnyNVewqA0ACxevBgfUFCaIAiCIIixMc5iaRQhOJUTPJUi28leXXS4qiEIYkpChjRR
+aIKJT0pUWiqTNgyjuroat6F+YwRBEARBjJnxFEvjZtOmTRPpcppu0VQNkAlNECUAGdJEBEg3G+VJ
+nMloGIa4MQnvL/UbIwiCIAhiPIytWFookAULFgjz2TAMuVGq2FjUS0d9rgRBTDhkSBMRwcCbgwXB
+gVju/YkxwzCwQ+bhw4ejPmIiD5BDhCAIgoiQMRRLCwUyZ84c9PmLHi5KLNrrOkYNvAmiRCBDmogY
+7NIBftG03/QSnzzvvPOADLDJT19f3/r16+vr68cwwJMgCIIg8sIYiqWV2VcspEINwBvjSTY0QZQS
+ZEgThUPJfXI7cvh3I7dTNzPwgZsuZbD58+cDNRubzGgm9P333x/1EREEQRCly9kWS+PsqwULFrgj
+r4Bh426DeR285aGeoE8nifp0CYKYKMiQJgqNPABLvvGAVi/NGBrV+AxNwJqMhEah+/r6KChNEARB
+RMhZFUtjRLqyslIoEy0WLUSMmuZNJjRBTHHIkCaiRO5sKfXsYMCY2xUTGE3AmoxkT+SmoDRBEAQR
+LbkXS6P8SCaTaC0bhqH07JbS64BC0ARRSpAhTUSA3OVS/RuYgYlSbqcxwzCmTZuG76IJWJOCXGqh
+KShNEARBREvuxdLa7CvUL4ZhMMNgUoad/DcVSxNEKUCGNFFQ9IQoAHUCozcWy4tPAwBNwJosnFU7
+MQpKEwRBENGSS7G00B7JZBK88jRZrqgqRqmOpug0QUxtyJAmJgFz5swBmoBVxIyhIzcFpQmCIIjI
+OWOxtNAeOIyTIAhCQIY0EQFai0vNnyt6YIocqhkzZgBFpIuS8Qy1Is8IQRAEETnZi6Xl2VdSajcT
+ZWhaOFqRNwRBTGnIkCaiQaqRxp/9Tt3AQMzBwnvVvHnzgJqNFRnjMaGbm5u7uro2btyYac9RnxxB
+EARRKmQvlhazr3wTmhmGYQADIVREaZqIDkR9TgRBFAIypIlI8W48clG0fFsSNymgCVhFw/hN6E2b
+NmGxWR73TBAEQRBjI0uxNEakKyoq0IaWq6JBTPRk0rgrMqIJomQgQ5ooKJqbFodGaL06hFHtzpFm
+7Morr8TtKSgdLQUzoakVGUEQBFFIMhVLi9lX4IWjJZ2iqxcxCguh0DRBTG3IkCYixnfryvclwOoj
+TKBi1dXVuDFNwIqKAkehqRUZQRAEUWBCi6Wl2VeuFW0YhqRWQJ4jTZYzQZQUZEgTESBSoUAbvehZ
+0oZhgNvJgzFgwgCj6tnC093dHUkiNwWlCYKYjHR0dJDPd5ISWiyND5LJJAoUWZ94agZAFTNSnjdB
+EFMZMqSJaBAZ3eA5cb0QNGPigde42zCM8847D6jPc8FZv379ypUrz9aETiQS46+FpqA0QRCTEVw2
+6+vr29rayPk76QgWSyNz5swRLbvltt3yhBFf0lCdNEGUBmRIE4VGzn0S469EqZHyk+HfqmbNmgUU
+kS44dXV1Z7V9IpFoaWnJYkKfVXybDGmCICYXHR0dWFLb19fX2tpaX1+/Zs0aWsomF1qxNDJt2jTX
+WpYadYPSHtUffwWU5k0QpQEZ0kQ0KL5b5j/plh4Z7gPX+0sTsCKiubk51B4OIkzojRs3yjVmgs7O
+zlWrVp1VfLu7u5tatRMEMYno6OgIPrN+/fqFCxeuX7+eUr4nC1qxNABs2bJFSBR3QKcnUcQ2jKkJ
+3gRBTHXIkCaiRBm9yECbKoFzGtH7i/3GyKwqPOvWrcu+QY4m9KpVq87260skEpSDQBDEZCGVSgUN
+afFSe3s7pXxPFoLF0s8999z27duBgWEYoM7p9NQLAFB1NEGUFmRIExEjxkUYUr4UBqXFnAlmsPnz
+5+P2FJQuMNmD0slkcoJMaDTOm5ubo74ABEEQOZFLuo2c8p3J6iaKgWCx9He/+91DBw+52d3S7CuD
+GQYzgrOvCIKY8pAhTRSaoLM2ywQsw82gYrPnzMaNKTWu8GQJSvf19YV+I+M3oTMZ5wRBEMXJWRnG
+HR0da9asWbhwoRiwRBQbGzdulH8cHBy8//77T506pc2+wleDRdEUmiaIKQ8Z0kQ0+DlRUoqUiEVL
+FjTDR3Nmz8E37tu3L+pjLzmyB6Xb2trkH8mEJgiiBOnu7h6DPZxKpdra2lauXLly5cq2tjZKuSoq
+gl9oT0/P//fA/+dLFFW/0Owrgig1yJAmokRMwALVlgbJqBazJbDf2IkTJ6I+6lLkrrvuyvRSZ2cn
+2sxkQhMEUbL09fXl2JoxlO7u7tbW1oULF1LKd/EQ6tf4ScdPnnjiCWC6FQ00+4ogSg8ypIkIkH23
+/kN1mrQ/sNF7MG3aNKCIdEQ0NzdnMXH//u//nkxogiBKmaampq6urs2bN4+zswOlfBcP4o728Y9/
+XH7+29/+9uv79wvF4g3t1MaRkDlNEFMfMqSJKFETvAEfeHcmYIyZhimC0thv7PDhw1EfdSmSSCSy
+qMMxzKkiE5ogiMlId3d3lp7bTU1NmzZtOnTo0KZNm+rq6sb8KZTyXQzgZV+0aNHnPve5JUuWiOdP
+njz5jW98Y2BgAMDvmOo9JhOaIEoIMqSJ6BG9LjGXG3CgtN+x22AGYwabPXs2APT19dHgkEhYt25d
+XizeM5rQFIQhCKJo+cpXvlJfX79q1ar29vZM9i16Hnfs2NHV1dXS0pKXlO9cWoIT+QUdxLNmzWIG
++59//T9xDCfy2muv/fM//7NbJi39ifqQCYIoKGRIExGQqXG3XBcdbNy9ePFi3JgMrUjIHpTOcQ+5
+TJxeuXIlSUaCIIoQ0VGss7Nz/fr19fX169evz3JLSiaTGzduzEvK99KlS6M++9IilUqh137BggWM
+sXOmn/O1r31N3uD73//+9777Pb3TmAfFpQmiFCBDmogSuXE3AMi2tNa42zTM2bNnn3feeUCGdHTU
+19fHYrExvDFHE1pUWd9///1RnytBEISO1gYslUq1t7evXLmyvr6+ra1t4lK+k8nkeBLFiTEg6pUW
+L15sGqZhGPX19X/4R38ob/PNb37z1VdfxcfUspsgShAypImIEY27mWHIcWlRP22Y7vOGYSxatAgA
+nn/++aiPuuRAQ/euu+6yLOus3ni2JjTS19dHQWmCIIqNTOtSX19fa2trfX39mjVrsqxdY075zjI3
+gZgg0GV/3nnnzZkzxxUhpvGlL37p+uuvF9ucOHHir/7qr06cPMkMA6hlN0GUHoxzHvUxEKUI/sPj
+nDuOY9u2bduWbaXTlpVOp9PpkZGR0dHRkdGR4aHhoaGh4eHh06dPDw0Nbdu27bvf/S4AHDp0iDpU
+FYbOzs62traz7SUGnmTMUlmdfc/JZLKrqyvqsycIgnDBftq5bJlIJJqampqbm88YRu7wyL5ZV1fX
+eAqtiTGwcuXK7u7uD3/4w//jf/yPysrKqqqqioqKysrK0fToJz7+iZMnT4ot/+RP/6StrS1mxkzT
+NE3T8KICUZ8BQRATDkWkiejRG3czEPchP8HbYMwwRJn0GOw64mxpb2+foKFWuUyc7uvro2+ZIIji
+IffxzmeV8r158+ZDhw41NDRk2Yas6AKTSqUwIp1MJpknQlCQnHvuuf/v//v/yhs//NDDDz/0MCV1
+E0QJQoY0URRgu0uDGYZ7y2KiQtowDPclg82eQ2XShaC9vR2b6IzBlMVIciYTuqOjI3fjvK2tLeor
+QRAEAQCQSqVyN6QFuad8Z5nsmMXGJiYIcYe6cvGVBs4OAXdqtMGM5cuXf+ELX5C3//rXv75v776o
+j5ogiEJDhjQRDSLxSes3Jl71G3kbBuZK4Z3siiuuACqTnjCECT3mGWN9fX2hihD3vGbNmtyN887O
+TgpKEwRRDHR2do6nnqijo2P9+vULFy4M7fLd0dGRackd/7gEYgyIAunZs2ZjKNo0TdHJBRjbsGHD
+LbfcIrZPpVJf/vKX+1P9vrAhCKIEIEOamGTMmz8PALq7uzMN8CTGxvhNaHlXedkzZTMSBFEkNDU1
+dXV1bdq0aTzx4Uwp31k8hk1NTVGfeimCznp03GfigQcekH0re/fu/drffg0IgiglqNkYESWO43DO
+bcd2bMe2bcuyLMtKp9Ojo6Ojo6Mjo6Mjw8PDw8NDw0NDp4eQN99885vf/CYAbN68mRRGXmhvb7//
+/vvHbz/LYGucMe85mUyuW7eO4jAEQRQhfX19jzzySJYwcu40NTU1NTVl6WG2Y8cOGnxVYFKp1MKF
+CwHg05/+9Kc+9alKpKqysqKyoqKivKKivMxl586dn/nMZ+T3/tu//dvdd99tGBSmIoiSgH7ViYhh
+Uho3eA+9ZmPgNhtjTHTCnD9/flVVFVCZdD4YT6z4H//xH7MkOq5fv37Me960aVNXVxdZ0QRBFCfJ
+ZHLjxo1dXV1bt25tbm4eZ8p3FiuaxkdHgkgQWLRoEQoS0zT97qcMhEppWLHiL//yL+X3fvWrX6V5
+EwRROpAhTUSJqCbyRkd7jbsBsCga22CahglewzHTNC+//HIA2LdvX9SHP4kZjwmNhu4XvvCFLLZu
+Z2cnmdAEQUxtGhoacNUaZ8p3Jmh8dCSgm37atGm1tbVeexYwDROFCpZMA7hdUv++9e9/b+Xviff2
+9/d/8Ytf7O/vj/okCIIoBGRIE8WCZ0czbJDpuoEN0/BGYBnMMEwDGMybNw9oAtZYGb8JLQzdLDOi
+x7lngiCIyQL2A9u6dWtXV1dLS0semztQ+VIkoJv+8ssvBwaG6ckPwxCahGHzbmagaPned78n3wq7
+uro2bNgQ9UkQBFEIyJAmigOvcbcbo1YyvplI7cb72aIrF+GbyJY+K/JoQiPjbydLJjRBEFMGkfK9
+efPmvKxpt956a2trK9UxFRiUFvPmzxMmNKZ2ixo05o0bwYeJROK73/2uvIcHH3zwwQcfjPo8CIKY
+cMiQJqLET+2WjGZRF22IH3CMo+Hez5Lzk9OmTQMypHMm7ya0YMxBaTKhCYKYvMg9t4M0NTVt2rTp
+0KFDmzZtGk+RcyqVamtrW7ly5cqVK9va2mhWRQHwJ0gvutL14EsKRHRwEUIFufXWW//6r/9a3s+G
+DRuoWJogpjxkSBPRI1dKY6qU6ORhCFOaGeKWZhjGpZdeClQmnQMTZ0IjYwhKkwlNEMSkpr29vbW1
+tb6+ftWqVe3t7ZnsW1wed+zYMf6U7+7u7tbW1oULF65Zs6ajoyPqCzCVQUN62rRpyWRSuO8N36nv
+6xNw/f0u//iP/3jrrbeK/fT3969du5aKpQliakPjr4iI4Zxzzh3HcRzHcgdgWWlkND0yMjIyOjIy
+PDI0NDQ0PDQ0NHT69NDw0NBPf/pTFBPvv/9+1GdQpBRs9FQqlaqvr88lVEJDrQiCmAJo1mwikcAp
+VmfsN9bR0dHZ2dne3j7OA0AT/a677spjPTaBrFq1qrOzs76+/otf/GJFZWVVVWVlZWVlRWVlZWV5
+RXl5WXl5eXm8LI7EEDMGAIZhnDhx4tJLL5WN57vvvvuBBx6I+pwIgpgoyJAmIkY2pHGUtG3bnh09
+OjoyOjIyMjw8PDIycvr06aGhodNDp4eGhg4cOHDfvfcBwNatWyeiV+qkpvDTm1tbW9va2iZizwRB
+EEVFX19ffX196EvJZPKuu+5qamrKbt+mUqmOjo729vbxFz/X1dU1Nzc3NTXlpe8jAQAzZ84EgKZP
+NX3yk5+srKysqqyqrKysqqoqLy+vqKgoLy8vKy8r8yxp0zRjsZhpmgDutM5nn332Ix/5iLzDBx54
+4O677476tAiCmBAotZsoCrzOHYBTJfyeHn5hklcjbZgGM5Lzk9XV1UBl0ioTncidiSyV0pTITRDE
+VCJLZnVfXx+mfK9ZsyZL2BnjyXnpyN3d3b1+/fqFCxeuX7+eUr7Hj1wgbTB/bogsRURLF/AUCzC3
+RSoANDY23nPPPfI+qViaIKYwZEgTEYP3HhCdugGY4XcZw+nS+MDwS6QNwzAuvPBCoDJpAABIpVJR
+mdBIaKU0mdAEQUw9HnnkkTNu09HRIezbTGHn/Nq97e3ta9asqa+vb21tHUM6EoEIQ1oUSKP/ngEz
+DANd/n6ttPD7gxcKAGCM3XvvvY2NjWKfVCxNEFMYSu0mosdxHPzbtm3bti3bSqfdOunR0dHR0dHh
+kWG3TFrisccee+aZZ6C0y6RTqdT999+fpdtNFhoaGpqamvJl5cqV0pTITRDElKS7u3vlypVn+65g
+yvfY9pM7lPI9NrBA+vLLL/+f//N/VqqUV5RXlFeUlZWVlZXF4/EYlkibMdM0RWq3+Lu/v3/hwoVU
+LE0QUx6KSBPR4welRYK318Hb+6+fWOW6hw12xRVX4LtKM7s7lUphDuEYZqI0NDRs3bp169atebR1
+MShdV1dHUWiCIKYqY+sTFkz5zhKObmpq2rFjR0tLy3hsYEr5HhsoJ+bPn88MJQnOVSGSMjFQtzBf
+wIAkZmpqah577DF5zzRZmiCmJBSRJqJH6zeGLcfSHiOjo6MjI8Me2HIMm3h/6YtfAoCWlpaNGzdG
+fRKFY5xR6JaWFmrPRhAEMQZaW1vHtvbKoIWcaSebN28W5dMdHuM87GQy2dTURF2+s9PZ2blq1SoA
+WNey7pql12AguqqqqsKjrLy8vMxr2B2PY5sxHMwJAGKstNjhhg0b7rvvPvFjTU3NM888k6lTHUEQ
+kxEypImiwHEczrnt2I7t9u5GWxpTu0dGR9GSHhoeGhJ29PDwt771rQMHDjQ1NW3evDnqMygEZEIT
+BEFEC/bcxkFWed95IpE4dOhQ8BPb29s7Ojqoy/eE0tbW1traCgAPPPBARUWFm9JdVVlZUVlRUVFe
+UVFeVuanduPcK9M0TMM0TADA3i7aPm+77bbt27eLH+vr65955pmampqoz5UgiPxAqd1EseB27PBS
+p/AhungN5iVZMcP0MAxj3rx5UBqp3XlJ5CYrmiAIYpxgGcvWrVu7urpaWlryG+MNLYpJJBItLS07
+duzIb8p3Kdw6zwr0U1x++eWGISkN5haUGZImAan0TG7iHeSxxx6Tzeaurq4NGzZEfaIEQeQNikgT
+RYGc3W25AWnLSluj6dH0aHp01J0mjYHo015Uuntf97e//W0A2LFjR11dXdQnMSFQFJogCKKY6ezs
+xBj1OFO+Ied7GaV8TwQLFy5MpVK33Xbb73/u971odBWGpt0J0mVl8bJ4Wbws5gakYzEzhkXU4I3t
+DO52+/btt912m/wMTZYmiCkDGdJEUZClTHo0PTo64hrSIyMjQ0NDp0+fxmLp4ZFhLJPeuHFjS0tL
+1CeRZ8iEJgiCmCyMP+W7rq5ux44dZ/WJ+Ur5xiEOpZzyLfqor2tZt7RuKZZGV1VVVVZWlpeXu4Z0
+eVlZvCxYIJ3dkAYqliaIqQuldhNFhOjazZS8bkys8u5YpoEPMOdq0aJF4GVkTRkokZsgCGJyMf6U
+b9FjLPdPFCnfzc3N47GBOzs7169fX19fX7Ip388//zw+uHLRlb7GMA3vgek27/aUCQvr2p0JmixN
+EFMVikgTxQL2G3Ozuy3LwpZj2Lh7ZETL7hbTpH/0ox899dRToQ1aJiMUhSYIgpgaYHQ694lZhw4d
+GmdAuL29HfPMx3nkJZjyvWbNmo6ODm2CtJbXXV5ejhOksc9YLObmdaMtHew0JkOTpQliSkKGNFEs
+OI6Df2cqkx4eGR4ZHtHKpPfv37/pW5tg8pdJkwlNEAQx9cCU7/b29uyZU3kcP9HX19fR0fHII4/0
+9fWNc1elk/KdpUC6vKK8orwie4E0nMmQBiqWJoipCKV2E8WCnB/FgBnMS6Ni+F/3P27OlWGahmkw
+46rFV+FbRF7WZCSVSq1atYoSuQmCIKYYmPK9Y8eO7CnfZ5vXnYVkMtnS0tLV1UUp3znS3d2NN99F
+Vy4ymCcwDMM0TaE9hBZxxQlIiiVzdbRMY2PjPffcIz+zYcOGrq6uqM+eIIixQ4Y0UVxgmTQTY7Dc
+xCm9TFqeTjF//nyY5GXSra2tZ3v8ZEITBEFMIpLJ5MaNG7u6ujZv3qyNuUokEnk0pAV1dXWbNm06
+dOjQpk2bxrN/7Gq2atWq+vr61tbW8Qe6iw3hiF+QXGAGlIYokBaJ3N7cq5wKpGWoWJogphhkSBPF
+At6QMk2TxtuXPE1a2NLYb2xSO8tzL6IDMqEJgiAmM01NTcK+xYqk0PHReaS5uXnz5s1dXV0bN24c
+T9lzX19fW1tbfX39mjVrzuq2VeSgI/viiy+urq6Wu5mKCdJ+LXRggjTkHJFGaLI0QUwlqEaaKCKw
+TNq2bRyCZdlWOu32G8NmYyOjI8NDSr8xAHjxxRexY0dXV9ck7Ywyc+bMXDajWmiCIIgpRl9fXyKR
+KGQRcnd3N87NGufgawykNzc3T+oGJQBQX1/f19d322233XnnnXqnscqK8rJyd4h0PB7D0VdmTNjb
+kEN1tAYVSxPElIEi0kTRIZKmAMB1+RpeXJr5469cV7FpXHWVWyY9/lalRQtOVaEoNEEQxBQjmUwW
+uJWXnPI9nnsKpnyvXLkShzUW8hTySHd3Nyarz5s3D0WFYYikbjejm/mBaAAAUYA2tk+kYmmCmDKQ
+IU0UEZn6jTFgfoESk8qjDdM0zOrq6muvvRYAHnnkkajPYCx0d3dPnz4906vNzc1dXV3jlDsEQRAE
+oTHOwdeCvr6+1tbW9evXR31CYwFz1KuqqpYtW+Z2GhP6gvnF0Z5XP6TT2Bg+lIqlCWJqQIY0UXSE
+9htz3cFqsbQwqK+88koA6Ovrm1wtxzo7O1etWrVy5cqBgYHgq8KEnqT56gRBEETxI7qgbd26dTxd
+vtvb2///7L17eFzlde+/9vvuvWdGsuQZ3/BdsgTY2AbZHmPMVYAlIGAQMZGBEBBxUqsnTx/7iZ5z
+jnTaUzdRT1upF6dyf71I58Q9oj19gtW4UZo2oRYENSHEoEkQgQABC5lLgGBbw8XWaGb23r8/1t7v
+fmfPSJZlaS7S+uAoo9FotGdLe9b7fdda39Xa2prrV3PBYC3bpk2bxKJCbo1WHHuxi3ca80DN0gQx
+CyAhTeQR5/UbcyZfcc5w+pWiMIVxvm3btqKiIiic6u7u7u5wOLxr1y7hkTZ//vzf/u3frq6urq6u
+JglNEARBZJnq6uqDBw9eTA1Uwc2hFI3i4XAYs9AKZqXdhQY7r9PY1H50KBQ6cuSIfE9XV1dXV1eu
+TwlBEBcAmY0R+YVlWZZlmaZpmmbSSBpJI5lMJpPJeCKeiNuWY7FYTDYbwxuHDx/+8Y9/XF5ens+N
+RtFo9NChQ729venjQxoaGg4ePJjrAyQIgiAIAIDh4eHHHnssY8CagNOnT+f6wC+Apqam7u7uhQsX
+/uH/+kO/zx+Q8Pv9fr/fthnTNV3TVVVVVZWrXOWqnKC+mANoaWlpb28Xn4ZCoWPHjoXD4VyfGIIg
+JgVlpIn8RarvVuxmaTHJkbt13fIQrLyt7sYWMnRkybgo2bdvX66PkSAIgiBspqvkO59x67qVlEWF
+yESLpYciV3VPH21tbbJspmZpgigsSEgT+YU8lVE0STvqmTGFKUwRUU60M3GpujvfhlsODg42NTWh
+hB5v1khDQwNVcRMEQRB5yORLvuvq6nJ9sBdAd3e3XNftTpB29uoV5u7e41JEXpvARdR1y1CzNEEU
+LiSkiXxE2Iwpdl+ScPG2tTST4fY/9O7OnzZp4SV2Xm1fWIsPgiAIYq4RDAYndvluaGg4fPhwrg/z
+AkCPkoULF5aVlYmFROq+vX0DFBzGaa9DLr6iW6aioqKzs1O+h5qlCaJQoB5pIu+Q26QNwzAMI5lM
+JhKJRCIRT8TjY/F4PB5zAIBz587FYrFz58698MILOMry8OHDuZWm3d3dhw4dmmRfWXV19dGjR3N4
+tARBEARxofT29vb39w8PD2/atGn+/Pn79+/P9RFdANFotLKyEgB27Njxuc99TvRFFxUV+R10Xdd9
+uq7pmqZpmqaqqmvrPd1ympqlCaIQUXN9AASRGdGIJA/Bkoc6Yi4aAERT04YNGxYtWnTq1Kn+/v6c
+COloNNrd3f3YY49dkDVLQ0ND9g+VIAiCIC6Gurq6wi2nEsVr119/vSjqTu2Olv9Pks0XPfgqI21t
+bX19fcItFZuljx07Jld9EwSRb1BpN5F3iBClgFNDNf4QLM44VznjjHHOGNu8eTPkorpbeIm1trZe
+kIouLy8v3IUIQRAEQRQiWNe9cuXKJUuWMMYYDrtS+cSDrxhjwmxs2uU0NUsTRMFBQprIU1L8xkBh
+Tsu0MO0W+8c4URpjXnhrGJzMcHaOc3h4+LxeYhNAZt0EQRAEkU2Gh4ddv27ubNArzhY9c3W00yet
+KJ5VyQxAzdIEUXCQkCbyEU+UElVV7iQKqU8JQx82L60pX7N48WJwNptnml27doXD4SmLdvRuycJx
+EgRBEASBiLK1LVu2oHjGJYQtqjlnnHsGXzGWsmCeIS1dX1/f3Nws39PS0iLqvQmCyDdISBP5S8qQ
+CadTiXOOMyjcUdKiEItzzvmmTZsAoLe3dwr54QvlIquySUUTBEEQRJZBIX3FFVcsWbJEVLbJywnm
+7NiLwVeQuiaZOWiyNEEUECSkiXxEniYthmCJrLSdi8adYhwrLeIfYzfedCM+SRY6pRsaGg4ePDi1
+7w0Gg1TXTRAEQRDZZHh4eHBwEAA2b9ksUtBiZ15MveJuUlpJH3w1o3KamqUJolAgIU3kKXKUwhAm
+fD4UkY627UGcAMg55/ySJZeUlZVBtqq7p6yl6+rqgsFgls4mQRAEQRDSJvvVW69OXT64CwrGHfnM
+mF3gDdKaZIaT0tQsTRCFAglpIq/BIViKk5tWGJPT0W7oYynBUFR3X5CB9pSZmpamdDRBEARBZJnH
+HnsMAMLhcElJSYqKduaBpCalsZlMEauR7BxkerO0PGWaIIg8gYQ0kaeI6ilndjSaZoLi3OUOp+BM
+DIHE+9C7G7I4B+tCtXRDQ0N5eXm2zylBEARBzGEGBwdxh33turXjLSG47eHtyGenrtteiMx8Rhrx
+NEsPDQ3l+uQRBOGFhDSRv6RXd9sF3nZEc4MgkwqzsLp73bp1kN2B0g0NDU1NTZN8MM2OJgiCIIgs
+g6uCefPmXb31annZYP+/LaGZkM32wiOLdd0ycrP03r17c33yCILwoub6AAjiPHiquxnn3DQNw8Ay
+LADAkiwMhDjBAqu7X331Vdx7zk7ud3Bw8PDhw5N5ZHV1dXV1dU5PKkEQBEHMOVBIV1VVYV03AIhl
+A3eS0pzJQ7ByUNctqKioOHHiRFdXVzgcrqmpyfXJIwjCC2WkiQJAkaZOKIpITzPbbIwxrqaMlWaM
+bb16K35vdpLSg4ODu3btmuTALUpHEwRBEESWEXXdV6y/wl4tiIUD51zlcl032N1kIFYfOTnmUCjU
+3NxMKpog8hMS0kT+Ig/BckZfMfef+/9udbeqqnirZF4JWo6hrciMckEqury8nMZHEwRBEESW6e7u
+BoDi4mK7rptxzuxCtvS6bvFPXoRAdku7CYLIc0hIE3mNG7c81d22d7fC3FFY3uruK664AqSJkTNE
+RhV98ODB8bzHyKybIAiCILIPVqht2rRJrBbS67qZs8rIWNdNKpogCBkS0kRhkLG6O2X8lVPdLXt3
+FxcXw0xWd4+nohsaGjL6eAeDQarrJgiCIIgs09vbi8E6vDUszLrFskHUdeP4qzyp6yYIIs8hIU3M
+WoqKiq666iqYMSE9gYrG2+lauqGhIRgM5vrEEARBEMTcor+/HwAWLVqEQz0IgiAuHhLSRF5z3jZp
+ltomjWVaqp2e5hgvZ6K6+7wqGvFoaarrJgiCIIjs49Z12xloVRR4U4M0QRBTg4Q0ke+4cStjmzSa
+bnLGOVdFjZZDOBwuKioCx2JkupikikaElqZ0NEEQBEFkn+7ubgzZW7Zs4akwxlSnS2y8wVcIqWiC
+IDyQkCYKA7s5WlEUpridS0wRc7BQUrvGIdy+p6qqCqa1uvuCVDTS0NBw+PBhSkcTBEEQRPbBuu6F
+CxeWryn3bLirquqsIOzBV8KNxb4NCklogiAyQkKayHe81d2giIKrlPlXzn5yihunU90djUanRUtP
+QUUjdXV15eXluT6XBEEQBDG3EAuAzZs347yrlD137q4gGEtZXShAdd2FzdDQUF9f38jISK4PhJi1
+qLk+AII4P4qiWJaVYQiWaQKAaZosydC4W02q2PzEVbvaOxwOf/e73z19+nR/f/9FOmZPWUUT2WR4
+ePjkyZPgpCAA4IUXXgAAnCteVlaGOxrV1dW5PlKCIKYTz7UfjUaHh4eDwSBe8nTtz1nENvoNN9wg
+1gbYIg0AKlfRspspjo83Db6aFfT19dXW1gJAKBQaGBioqKjI9RERsxAS0kTBYKejGTMtUzGBiU1i
+zxwsvKEwFeMh55s2bXryySd7e3vHm+08GUhF5xu9vb3oITc8PByNRqPR6MSWckJXywSDQSz+R5k9
+f/58qh0giDznQq/9jNC1P3fAN/9Vq1ZdcsklnHGVc6bYWWgAkCZhcazlZm7xG6O67okZGRkJhUK5
+Pgr3YIaGhsLhMH7a19cn7t+9e/fAwECuD5CYhSiWZeX6GAji/JhO8tk0TcMwDMNIJpPJZBIAEolE
+PB6PJ+LxeGIsFovFYqOx0dhoLBaLjY6Ojo6Ovv7663/2Z38GF6F7SUXnCcPDw/0Onl9HRsLhsBzj
+I5HIZEq8ysvL6+rqqqurKXNFEHmCuPYn2aQztWu/qqrq5ptvpmt/NjE8PIzK6p577tm5c2cgEAgE
+An6/3x/wB/wBAPD7/T6/X9c1XdN1Xdc0Ta79Rk9TAMCPBBKJRNrb23t6evDTioqK5ubmvXv35vCQ
+enp6GhsbR0ZG9u7d29nZiQdZW1srLvzm5ua2trZcnzlitkFCmigMLAfDNEzDNAwjaRgopJOJhK2l
+4/HYWGwsNoZaenR0FLV0LBY78PsHTp8+XVdXd/jw4Qv90aSic87g4GBvb+/TTz/tyTuFQiFcIVVU
+VOCiuaamRr5/PHCjemhoaGhoCG+MjIyMjIxEIhH5YcFgEJfUdXV15LhOENnnQq/9ioqKCQo4xTUu
+rn38NOO1X1dXV1VVRdd+odPR0dHa2goAX2/9+sqVK1FFBwKBgD/g9/sBwOf3+X1+XXdUNAppqX1a
+bpMmAKCrq6uxsTH9/pqamiNHjkwhQd3V1dXS0gIAe/funbLWra2tFSnotra25ubm9EM9duwYvlEQ
+xHRBQpooDFBFg5OUThpJI+kI6WQykUjEE/H4WHxsbCwWi+FHTEfjjZ6enieffBIAIpHIBRXvkYrO
+FdFoVCSfh4eH5S+Fw+Gampr6+vqJ1fIUGBkZ6enpiUQiPT09nvwVLqlvvvlmLAclCGKGENd+b2+v
+5703J9c+7qbRtV+ghMPh4eHhVatW/d7v/Z6rogMBv9/v8/kAM9I+n+7TdU1KR6tc5apIR5OQFojG
+YwCoqanBK7Grqwuvmvr6+iNHjlzQE46MjFRWVoqLrrOzc2qZ7ZaWlvb2dvHpwMAAHtvu3bvlzPnA
+wED+1KITswAS0kTBgFpaVHebppkipOPxeDw+Fo/Hx8ZGR0cxNS209DvvvPO1r30NAPbv33/gwIFJ
+/kRS0Tmhu7s7YwFnfX19TU1NTU1NdixDcEnd19fnSVWVl5dXV1fv27eP2ikJYnrJeO2HQqEah+xc
++319fX19fT09PZi1FmDTxyOPPELXfqHQ39+/a9cuAHjwwQd37NghVDRmoQOBAADoPp9P192MtKqq
+qmpPk0Ybb1LREiLxKyvekZGR2tpajJVCwU6Snp6e3bt3i09FMvlCkY8BJM3sEepU4E1MLySkiYJB
+VHcLLZ00sEc6mUwmEvFEIpEYGxsbi4/Z1d2jo7FY7NzoudhoLDYW+4fH/uHZZ58NBoORSGQypXqk
+orNPd3f3oUOH5PxzRUUFLqDr6+tzdVRDQ0MiVSXf39DQQHKaIKaFjNc+Zp7p2iemzK5du/r7+xcu
+XPiH/+sP/T6/P+AvChShnPb7/T6/DwB8us/n82mapumaqmqapqpc9ajoWSCk+/r6Jm58yAg2QYhy
+6JGRkQULFgCA6EOWnx8z1ReqVOWMMQCcOHFiyvtl4zVFy1o9FAqdOXNmBk90VmhsbOzq6srCD5py
+uf7cgYQ0UUh4LMcwI20YRsIBM9JjKKVH7epuVNSvv/76n//5n8PkktKkorNMf3//17/+ddEGWVFR
+sXfvXlE2lj9gjlou/qQlNUFcDL29va2trUJCo3LOt2t/ZGREpKnFtb9///59+/ZRB3XeItLRd999
+986dO0U62u6P9tml3ZiR1hw459gindFmDE22JjauS2+5nyT4xz+1fOwEDA0Nbd26FY/5glSuaDAO
+hULHjh0Lh8MTq2XcbqipqTl27Ngkf4RQ5uIMXKS3dnt7O7ZbI6IpWiTSKyoqTpw4Mb1nOMvI1fVZ
+YMrF9nMEEtJEIeFmpC3TSBqoq5PJZNIwkm5191h8LB5z7LtFo/RYbOwv//IvX3/99fLy8omDHKno
+bNLf39/R0SFmU+WD+ed5GRkZaW9vF+1YwWAQ5TQtqQli8qRf+52dnXluBTQ0NNTe3i5yQXTt5zNN
+TU3d3d2BQKC1tTW0IIR5aGEz5vf7dZ8OAD7druxWNU3l3K7rVjlTMtR1V1ZWegr+p51wOHzs2LFp
+zAF6mocnn/JdsGCB2DLA5ufJCOn0ZPXkj21aNJvsOiaU+cjISEtLy8jISHNzc15t0o0GyEcAAIAA
+SURBVE2BLAvpKRfbzxHIzZ8oPMRAaYUp9j8FFMWZC+kMhETDEJWrmqqiawi+9QwPD3d3d4/35KSi
+swaeaiy9A2cZfeLEiTxX0QAQCoXa2trEoUaj0Y6OjnA43NraOpmhXAQxx8FUYfq1n+cqGtLepuja
+z1tEoL/uuuvmzZsnVgIqd0dbccadVQMKZsAVxQTjo2daRYOT9J7GJ/Tkzyf/EuRvxNs1NTWo8D3N
+DgAgjvmCCrPl+uRQKDQtfRydnZ1iG0JkTUKhUGdn55EjRwpdRUPabL8ZZbp+KbMYykgTBYanuhsA
+xEzp9IHSosBbtEy3t7e/88474yWlSUVnh+Hh4UOHDontjFAodDFDL3JLeoZq3759+/fvz/VxEUQ+
+Mjw83NraKuzECqICZTyGhoYaGxtF7qu8vHzfvn0ULPIETEcDQHt7+4IFC4RfNxZ1i9nRAHBB46O3
+bt06tbLtC2J6+3jlDC1cSEZa3koQBduiOxejNio6nF81MjISCoVOnDgxSZnnmU01jTZg4plnq7XY
+0NBQdnqk9+7dmx2Lx8KFhDRRYMjV3aZhAoBhGIZpJBLJjAOl7TlYsVG0HPvhUz/EndTDhw/X1dXJ
+z0wqOgtklNDNzc2FbmURiURaWlpoSU0Q4zFbr/2+vr729na69vOKaDRaWVkJANdee+3DjzyMNmN2
+WbcfVbQ9OxoAxPhoTVNFgjpjXTdMrkcauVDnak+ieBoX5x4hPflnlr9RaPuRkZGtW7eKo62pqYlE
+IlMbXuWpk78Ym7F08JlJBBIzDQlposDwDJQGADFTWh4oHY/HY7FYbGws5qSjMSMdi8W+/vWvnzlz
+prq6+ujRo+JpSUVnge7ubrkAsrm5eRYso2U8S+qqqqrDhw+TDxlBdHR0tLa24u1ZI6Fl6NrPK1pb
+Wzs6OgDgwIEDK1askGdH+wMBv8/n9/t1Xcce6XwYH53e9ZpvQlr+xkgk0tjY6MnMY8fT5FW0Jx1d
+U1Mzc4242ayFJuYaJKSJwkOu7gYAMVMaQd9ulNOiojs2ZmvpsdjYD3/4w3/+538GgKNHj1ZXVwOp
+6JknGo22traKZBQuo2frVnFfX19LSwsuMoLB4MGDBz21DwQxd4hGo01NTaKWe/Ztn8l0dXW1t7dj
+KiwYDB4+fBhDDJFNotFoOByORqPbt29/+OGHfX6fraJ9fjH4Svfpuqbbrt26rjrI46Mhra575vCo
+yul1lpb3Ai7omT0zljwZ466urkgkgn/tOKJy8jHdk9aeaUKh0JEjR/LfgoGYAGwfCIfDbW1tedXo
+TmZjROGBUUFMd7Trr2zXMUVhitdyTFU54+gywjnfsmVLUVERAOCONanomQbPMKpotNDs7OycrSoa
+AGpqagYGBrAvKxqN7tmzR+TiCGJOMTg4uGPHDlTR4XD4xIkToqlyVrJ3794TJ05gYi0aje7atYuu
+/exz6NAhDOjXX389507oZ1xugcYVgrxswBtyOXc2Z0fLiV8AmDnJd0GR13OpenQvunMfO3bs2LFj
+F7ozLvabsgOOr5uhp51MnT9xkeBOE55wMRI8TyAhTRQeHiFty2nFbmrijNubytxBtcdCYhwtLS29
+5pprAKC/v//73/8+qegZpbu7e9euXTggeu/evTiLMtcHlQ2am5sHBgZwIdLR0XHrrbeKSbkEMRfA
+ax//7PHan8XbZzJtbW1ifFFHR0d6iCFmFNy4ueyyy9asWSPEs2pvpLvb7LhawAUDrh/kFQVkUUgP
+DQ15TLCn0SfZo1cvaBvLE6yny2Uta0ZZM0pXV1dlZWVtbe0UJqLJXeXEefHUa2RzC2YykJAmCpKU
+CKeAyE0rjKGKluKkHTYxmqLUrqmpKS4uBoA9e/aQip45mpqampqa8Ay3tbXJQynmApiCw9wCpubE
+yFyCmMVgOTde+zh1Zq5d+zU1NeLa7+/vD4fDdO1nh+7ubty72bFjB2pkEf0xKY177bI1N64cbP3s
+rCyymY72qMqKioppzEh7VMfFZKSnS/uhv/d0vcDJUFFRMbXRABNotp6eHnwVIyMjkx9XhjXtW7du
+XbBgwfQOOZuteFQ0zGS9xtQgIU0UMM7WsT1TmimKHRiZraftLWhpTxrtREpKStZdsQ4A4vG4/ISk
+oqeL4eHhW2+9Fcu5KyoqBgYGZs5HJJ8JhUJY9gZU6knMDTytHMeOHSvQ6VYXSfq1j81ExIxy6NAh
+AFi1atX69etVEfPtjLSKBWqMp64UsJ57wvHRM8fIyIhHSE9vrPSkkS9ISHsUy7RkpHt6ejzp9yNH
+jlgXiOcgz/v4KfiBt7S0KIpSWVnpUXEZz2RXV9ck06SRSEScxpaWlos/n7ObdBUdDoePHDmS6+NK
+gYQ0UZB4qrsBwJbTiiLMQrizFa3hbrTKucpVTeUqf/fX77780sue5yQVPV309vbu2LEDy7nr6+sH
+BgbmSDn3eFCpJzFHkFs56uvr504rx3i0tbUdOXIEr/3W1tb0GihiGunt7cV09Pbt2+WIjzvqmtsg
+zWRTMbs1GtxFBWQxI+0ZpjXl3Ol4eHK/F6on5cdffJsxjl6X70GXsmy+osmAQ87wdldXV8YX7tnv
+mGR6OQtDyGcNGVW0WErlD2quD4Agpoii2J7zwnLMsizXMkRqkWaMqaqqqVqSJznj77z9zl8d+qtY
+LCY/G6no6aK7u7upqQlvt7W1zc1EdDpY6llbWxuJRPr7+3ft2nX06NFgMJjr4yKIaYOu/YzU19eH
+w+Hdu3dHIhFUenTtzxBYB7Fo0aIbbrjB9RjjqqZqwpHbaZO2PUoBIIc2Y+ndwtN+1XiU24VubIXD
+YTnXGolEprw1NjIysnv3blkGY9/HRb6imZBVnudsb29PLyfGLQ/x6+vq6pqMk6Ln5V/QUfX19c2E
+ZdrkCYfDNTU12dGxHsd4yFcVDSSkibnG0NDQn/7pn547d06+c8eOHaSipwWxkqZpE+mEQqGBgQEM
+D1j+SutpYtYgX/uUiPaA7S107c8o/f392Ih+00035fpYJounW3ja09GQ2uUbCoUuVId48r19fX1T
+vrTFVEhBW1tbfhoQekRyX1/f0NBQ+qHW19fLYq+rq+u8+yDyGbigM9nS0pIPPdU4eGVGf8TIyAim
+HDw/Nz9VNFBpNzELmMC72+6URpsxzoaHh//oj/7o7NmznmeIRCJUbnfxeFbSpKIz0tnZiUuljHPX
+CKIQIRU9Gejan1GwBb24uHjz5s3u9EtNtQ3GODqNcacvmks+Y16/7uyQ3i08hfTsxIyMjMhCegoX
+pieOT9kwOT3BuHfv3qntGlxkjn2STKZyu6amxtMpfd6nlU/gBW0i5InPudzjPRP09fVVVlZ6fkRN
+TU3eqmggIU0ULt6wpwBWZzFuR0bHshs9u/nQiaHf/d3f/fTTT+UnueGGGwAgGo3iwAxiytBKevLQ
+epqYTdC1P3no2p8hhoeHMR299eqtJSUlrskYw+5ozZ59ZY/GZApDPxVmC+pUv+4syOmRkRGP11R9
+ff207z5fvOb0fMvUSoszlum2tbVN7UV5eqRnSF9VVFTIzdtdXV0ZncblvYChoaGJRaZnX+OChHT+
+pO5n6EjwiqitrfWcZxydmLcqGkhIE7MDe2sZe5xA4WKnmTEMn6//6vWmpiaPin7wwQfr7q277LLL
+wLH6JKYGraQvFFpPE7MDuvYvFLr2ZwKM4MXFxTt27FAlNE1TNdusG7fURSKao0c32A3SuGDI2gG3
+tLR4iq6nPR0Nabp3CpdnKBSSv2toaOhCk9LpKho7v6YsjbKTkYZUkQzj5IQ9j/GUGHi4mF9HPpTB
+h0KhyfSBT4G+vr6tW7emp/1xbGpuX/V5ISFNFDDenWMnKa2kmoq8+uqrX/nKVz755BP5exsaGm68
+6UaVq1dvuxoAhoeH0aeEuFAGBwdpJT0F5PX0nj17cn04BHHB9Pf307U/BeRrX9izEVNGhO+tW7eW
+zCtJHx+NWWhxG/9fccZfyeloyIrTWFdXl0eVzdCg9WnRnJ48+cRa0UNGFX3s2LGL0YTyi6qoqJi5
+XKWncjvjCw+FQnLieuKTk16xfEEHc+LEiQudEybj+XFTeIYzZ85MuxneyMhIY2NjbW2tZ4NGHh+Y
+55CQJgoedxSWNFAa67YYYy//8uU9X/rSxx9/LH9LY2NjTU0NOnlu3rx5wcIF4Bh+EhdENBpFEUgr
+6Skg1tP9/f00X5ooLOjavxjEtd/b20vX/kXy2GOP4Y1rr7sWJ3QgqKWxTdoeH81d9Yyzoz3jo7Og
+oiORiKeou7m5+UJHQE0SOQVaUVExNfnqubQn3yKbvl9w8e8VkUhELv2d6bcdOeE8XnuwLFAnru6W
+fx1kIgMA7e3tlZWV6al+tDQrlFNEQpqYJXgGSuOO9Msvv/zwFx7++KOP5Efu27fv9jtutzumVM4Z
+R5PPwcFBbLIiJk9TUxPO7Wxra6OV9BTo7OzEaNHR0UGN+kQBIUYid3Z20rU/BcR56+jooNAzZaLR
+KG6Cb9u2bcniJSKyM86EhHYbpJ20tK2mQfGMj55p0JHYIwVnKO3myY5OWZZ4vnHybdLpzcwXv+N2
+8cXqF4RngyNjwtnzmPHOj0djz/H3zJ6ensrKSo9rveAiaxayDAlpouCRZz+60yCZ8uLg4O7duz9K
+VdH/7b/9t7vvvltTNZXbIVZV1euuu27RokXg2H4Sk0RovynbbxIAILrFxK4EQeQ5ra2tqP1mLpk2
+FxDXvtiVIC6UQ4cO4am79dZbRUy3Z0dzlXPOHP0sxkc7/1NEabe8hJi5Q01X0dgaPUPFydPVS+xp
+kx4ZGZlkdffevXvFS8PxRRevHi+mOnoKeCzHxqvulg9jvIy0R2Bn/21zRt22J09fX19tbe3u3bsn
+aLbPZ2uxdEhIE7MHeYLF4AsZfFz+5//8n7t27bJ3qDHIqmhCol511VUgDaIkzouoRg6Hw/nvBpHP
+oPMKSLWyBJHP9Pb24p5jTU3NlK13CQCoqKjAN0+69qeGSEdXXlq5ZMkSO6BrKsZ34Tdme4yJjDTz
+jrzKTjo6fTrujFZzeFTfxSi3SSZdPYRCoYGBgebm5ra2tmlR0R4N71H4M8RkKrflx4x3nuUC5oqK
+iuxnpOUdnJxUTaOErq2tTf/7KSzl7IGENDEb8MzBeuGFF+655x6Pim5tbd29e7czWlJTuSr+cc5r
+a2uLiooAgMprJ4PcHokikLgYampqsLpvcHCQGiaJfGZ4eFgYjNG1f/HU19fjtU9GCVOgt7cXA/0t
+t9yC1twqVznjdkYazcYcy24mFDSzc9GgQNamXjU2NqZrsJlLEkYiEc8E6YvRKpOpcM5IRUVFW1tb
+c3PztCiladwamN7X3tzcfOzYsba2thMnTmQ8Ko8Cz3k6OsvCtaurq7KyMqOExs3Egi5pJCFNzCoY
+Yy/8/IW77rrLo6L/5E/+5MEHH2TCxlN4kGh2drp0fun27dsBoLu7m8prz4vcHllArSz5TFtbGwbX
+jo4O8r0j8hZx7V/MABtCpq2tjYwSpgZOvaq8tPKKdVeIaI6t0SLQY0c0l5S0SEeLMZkzfZzp5tVI
+e3v77t27M7aJXiSeH3eRys2TQR0ZGcn4cmYaz3ik7MhRjy/3eG96uBs+3nLIc+TZ140eIZ2dZdvQ
+0FBLS8uCBQsaGxvTC7lDoVBzc/PAwEBBq2ggIU3MGnAvORKJ3HHHHZ6w9Gd//mdfePgL6Cxm706r
+ToM0d7PTtbW1+HiaKT0x1B45Q4hdidbW1sHBwVwfDkF4EX+Zzc3NhWKpWhCQUcIUELve26/ZLvdF
+SxGei7jPOGMcR2M6TdGp6ecZSkePjIzs3r17AtnZ09OTXvJ98T902pO3nmfIvpDu6+uTxVhFRUXW
+3oJQIYdCoanZwXh+HZ6pWtkha8O38fW2t7dv3bq1srKyvb094z5Rc3PziRMnZmgqdZYhIU3MHn72
+s5/dfvvtnov2G9/4RsMjDfZWNGdciq6aJsIu5yovLXWT0uT7Mh79/f3UHjlDyM3SX/3qV3N9OASR
+ArVGzxxklDAFsHJnwYIFW7ZssQO7M/zKCfIqV1UR/JnCOGPuXA8lxWZsJo4Q3cU8mjYcDnt0VCQS
+SX/YxdDT0+MxBr945eYRkJFIZPL23dOCZ2ZYNtOY4XD4xIkTZ86cmZoznEdM5iQB6/llzdweBP7N
+t7S0ZNwbwiz0rJHQCAlpYpYQiURuu+02j4o+dOjQF/fsEZVdKlc5E+1TGnd9STT89LbbbhPfmOsX
+lKdQe+SMEg6HUaIMDg5SgTeRV2AHb0VFBV37M4FslEDX/nnp7+/H4oibb74ZC7k1VdOcjXJNmHYz
+Jry7GeeKY9ON6egZFdKRSKSysjI9E3js2LH0GbmYuPZoxSkzE4XEmI+V75lG5X9eurq65DOZfjB5
+y9DQkPzr8NiAZ4fpbZg/7886r4SeZf2AJKSJ2QBu6HpU9F//9V9/6ctfYopi+3WiaafKhcEYbl07
+Jd6qqqpLliyhpPQEiFK62bSbmG+IotnW1lb6IyTyBLr2s4BYYtJO7nnB4ogFCxZcd9112AvNHY9u
+VVNd4zHVjf8cNTRT7AnSjnieCRXd1dW1detWz5oEVXQoFMKJyulSsL29vbGx8SJbpj0l0J4W34sh
+vbp7gglG08jIyEh6OrpQ3oUaGxvlT3My4mR6G+YnJv33UlNT09nZeebMmdkaO0hIEwVPRhX9t3/7
+t1/+8pexiEuxnUbsTim3TdppmnbcSVRVVbds2QIA0WiUljLp4DmpqKgolM3gAgUTU/RHSOQJ0WgU
+09E1NTVkizCjYEHK8PAwJaUnQAyqvPKqK3E3HM1DeXp4dydIO+lomNmR0Zhb9sgnAKipqUEVLe7p
+7OxMl1VdXV3p65kLIt2Ra7rUS01Njae3drpS6BPj2VyoqKjAEJn/tLe3yzXVNTU12beWGBoayqaQ
+DofD+NvBX9OJEycy7hnNJkhIE4UNBi1P1Pm7v/u73/qt31IUVNGKnJTGgCrMSERSWrB+/frLLrsM
+aA5WGnJKKtfHMssR4ZYqI4h84NChQ/h3WCjr18Klvr6eClLOC0bnQCBQs6PGnsEhpaOF1RiK6Azp
+aKmie3oVdV9f39atW9Nrnvfu3etR0eL+dPd7zA1MzX6sp6fH0w07vddsenX3THdKt7S0eM5noSQ2
+e3p65I2GUCiUk3R0+sbKTFdWt7W1WZY1K6u4M0JCmihg0NXAU1wkVLQTIb1JaeHhKSel5WCM9t3D
+w8M01VNAKaksQ0lpIk+IRqOYHc1JOmUOQtf+xPT39+Mf5LZrtpWUlIjALaejGWfunI7UdPS0i2dk
+ZGSksbExfUECAG1tbRMoqPr6+nSNPWUtnV4CPb1KJv0JZzQp3dXVla4DC2IFEolEPFUJEwzHmtHD
+8KSjZ3dyOCeQkCYKFVTRnkjT2dnZ2NgobzY73pzAFEVOSttmY6qq6RqSnpSmmdICSkllGbFhQUlp
+IrfQtZ9lqCBlYr7+9a+Dk46Wq8k0TdN0UeatyeloZu+pz1Q6uqurq7KyMn0iFPZCn/fCEb3T8p0Z
+VzgT09LS4pHxM3HNekrSIpGIR+tOF11dXR4tWlFRkZOk7oWCI808Tt3Zf//Egk35HtoMnQlISBMF
+yXgqWt5sk5PSzvirlKS0p1NaDsl1dXUgpWHnOJSSygm4XqE/QiKHiGtflBwTWYCS0uPR0dGBZt33
+3HNPaWmpHLUnSEfj+KuZSEdjLXdGh7CampoTJ05M8qrBAUueDuQL0tLprbDTno5G0t8K2tvbp3cO
+NmRS0SCNW89n2tvbPf2G4XA4J/p/9+7dno2VgtiGKDhISBOFx3lVtGfL2U5K4wfRL+VJSqfmpcvL
+y2+55RYA6O3tRVOTuQylpHKCMHWjyggiV4hOXXJGyCZUkJIRsbOwcuXKG2+8UWx/28Fb18QcLMbt
+zXPmtEZnTEdfzMH09fXV1tZmFLqhUKitrS1jU/QEYPp6ylraI97wGGboF+F5Zixrn8bnb2lpSX/C
+zs5Oz8nJNzLOMMNyg+wfydatW9O75edCx3L2ISFNFBiTyUULxktKq9zr75mel77tttsCgQA4hWRz
+FkpJ5RCxc0GJKSL7CO/oGUptERNABSnpiC3de+65x5OL9lSYqZzb46NnIB2Nhbu1tbUZfbZqamoG
+BgamtumMWtpzrWX0gvHQ0tLiWRQ1NzfPXPJWODMLIpHItDRLRyKRrVu3pteKj7fGyx+wvN/ji5ax
+aH+mydhgX1NTQ5uhMwQJaaKQmLyKluOlnZRWUpLSolPa7bBKTUqXlpbu3LkTAAYHB3Fk5dyEUlI5
+hJLSRA4R2zdUipJ96Nr3IALx9u3bN2zYkNIaPXF3NFPEGgCfampyemRkpL29vbKycvfu3RklNHbw
+pivhCyIUCqUXMGecTiLo6enxKM90oTvtpKc329vb0+3KJw8Oi966dWt6+j3PVTTWJqSX9+/du3dg
+YCDLKrqlpSV9kRwOh48cOZLr8zRrISFNFAwXlIsWiGouRcncKW3bd2NeGgvDHC19ww03XHrZpSBt
+hM81KCWVc8SoD0pMEdmErv2cQwUpMlgaVlRUVFdXJ6tou45s4u5oB8amuOhtaWlZsGBBupsXEgqF
+mpubBwYGpkXvZUxjptsvy8fmOZgsqKaMw5ymlpQeGRnBdG56IhpfS96qaBz6nbE2YWKr9mlHPoce
+PZ+TrPicgoQ0URhMQUV7tp8nk5RWNVXXdYzPWCh29867YQ7X11FKKueEQiH8I6d2fSKb4DvejHZa
+EhNDSWlBd3c3vvvdcsstpaWlXOWqpqmapqqqrusihk+cjpaXBBf009NTvgKU0Dgydxq1SsbG2vE6
+pT3aPmvDe2tqajwLg/Fy5uMxNDTU0tJSWVmZ0a0NT0IeDrvCAdELFixobGxMl9DhcHjKtf0XysjI
+SE9PT2NjI57D9F2empoaUtEzDQlpogCYWi56Wli5cuXV264GKZDPHUR3NKWkcovoduvt7c31sRBz
+guHhYfxj27t3Ly3CcoiQZ3M5KS02shcsWHDrrbdm/wAyKtgZktCCdKvn8TxK5Oi8d+/ebOZv29ra
+ZKE7+R+N/mQZM6hIc3NzuvVabsFjVhRl9+7dGQ9bFCZk57BHRkaw0aCrq2uCc0hv4DMNCWki37kY
+Fe0ZFzledbftTOIYlaiqqqmqyFTfddddRUVFADDXOqWFbKN0dG6Rk9K5PhZiTkDXfp4QCoVQqMy1
+bVwZ0Vq1675dIvOsqXaETrcZG6+uW6wHLvQAPAoWe6FnTkIL9u7d29nZWVFRgQptvDXPkSNH6uvr
+Kyoqmpubsz/fqLOzs7m5GbPTk3+7aGlpGa9SHZOoM31up0BXV9d4xyzvqmTzeMYrAUDHOyomyg5q
+rg+AICbiInPRiqJYliVuM8YssBSmMNOt7uYqVw0VAAzVsEO0oSWNpGqoPMlVTS0tKb3xxhufeOKJ
+/v7+7u7uhoaGXJ+VLIHjOsPhMKWjc05NTU17e3s0Gu3v76+urs714RCzHHHt59tadg5SU1PT1dU1
+PDw8ODhYVVWV68PJNsPDw7iFvfHKjeuvWK9qKlc5bnUDgKZJvVm2UTfPOPVKPOHUhPSRI0e6urrC
+4XB9fX0206STyTDn1kpqat0fGVvNcS8gbzuiM6rWioqK+vr6GfVIvyBwR4MGrGQTykgT+cu0VHRj
+1HQtx3AOFudSUlp1b2lOIpqr9mdcVVV1R82OlStXgmRhPRfArBS9I+cDNTU1GKfncmKKyBp47edh
+d+IcRPwWnn766VwfSw5oamoCgOLi4p137cTQbMdlbhuMafYdKdHcDvHS1Cu0GZvy7Kv6+npMk+ZV
+sXHh4nlvqampwSR/3qpoSO1zQV/0gYGBLBQmTHA8IsmBexADAwPHjh0rxDWbfMwFd/yUkSbylOnq
+i86YlGamwhkz7Y1rxlUOAKqpGUkjyZNc5ZqmGaaBeWnDNNSketvttx3+5uFoNHro0KEDBw7k+vTM
+OP39/bhlUHBvarOVmpqanp6ep59+ei78+RE5RNR107WfJ9TX1/f09PT29u7fvz/Xx5JVhMPi1q1b
+lyxZgoloVbNnVQKA0M+qqnHVyUUzxp2M9MWno4mZABdyfX196KhXEFVvFRUVJ06ciEQieVKqEwqF
+Tpw4geewIE7gBGA9f19fn2hkKyBcjUEQ+cP0uotZloV/56ZpmqZpGIZpmknDSCaTyYQNAIyNjcXj
+8dhYLD4Wj8Vio6OjMYexsbHYWOzxxx8feH4AAJ566qlZX2LX2tra0dERCoXOnDmT62MhAAC6uroa
+GxsBIBKJlJeX5/pwiFlLU1NTd3c3rhpzfSwEAEB7ezsOFjpx4kQwGMz14WSJaDS6Y8eO4eHhhQsX
+tvyPFr/P7/P5/A6BQAAA/H6/7tP9Pr+u6z6fD0dKo5u3ij3STqU3THV8NEEQxARQaTeRd0y7R3eG
+OVhMYYrCxRAsxhhj9ihKYUDmbH47vVfqLbfcUlxcDM5Ay9kN1XbmG+J3QZZjxIyCOUBKR+cPc/Pa
+P3ToEA79uv2O2+0Y7BiBqprwFBNfUEU096Sjpzz1iiAI4ryQkCbyixmadOW17waU04xxzjhD127b
+vRtNQe1tbU1TnS1uTVuyZAn2R/X398/uBc3w8DCuYKgfLH8IhUL460AjKIKYCQYHB/HaJyGdP1RU
+VIjQk+tjyRLDw8M4fPGyyy7bvHmziMIpcVlzfMYcSc04Y5yjlagCF2vWTRAEcV5ISBN5xMzNi54g
+KW3bkrjmYyqG5xQ7UFXlnGuqdudddy5atAhmu+uY2CagjHRegb+O3t7eWfy3R+QWuvbzE9zXmDtC
+WkTYz+76rKZq3NnjdoZfaWLLmzs62gnllI4mCCJ7kJAm8oWZU9GIJynNFNuTRMFSMM4YZ1g45ipq
+Z9vb3fzm6j333AMAw8PDhw4dyvU5mykw5ymcook8QSQJ5856msgyaA1NKjrfwN8IDsDL9bHMOKLm
+66bqm5YsXmLXiLmRWBLPnKOkxghuR3PcKad0NEEQMw8JaSIvmGkVDZmS0hhuRVJa5aow8dZ0TcpG
+q269t6atXbf2qquuAoCOjg6sgZxlRKNRGnyVn4iZ3nNhMU1kn2g0KjbRcn0sRArCKHguXPs48mrR
+okW33nprSgm3m5NWNV3TdNepW+Wqk452x0hTOpogiJmGhDSRF/T09MyoikbkpDQ4HVQiHY0SWgyR
+tkvIdLEJrolB07W31eITYryfZYiFGi2m85C5VuFJZBMafJXPiM6OXB/IzNLa2oo71DfccENpSSnO
+iHZT0rrTciWNkrblNCalU+M7kIomCGImISFN5AWeEuKZUNEAKZEV/cacpLTdI+1adqsqV7mmaiqX
+tsCdTy+55JIbb7oRAPr7+2efpMFXJOxtiLwCFc7w8DBZjhHTDl77ovCByCvwDVk4Qc5KotGo8Bi7
+7vrrUCU786xU8alj1K26xt2cc7u223UaAxp5RRDEDENCmsgLampqUCGEQqEZUtEy8qa13U7lRGBU
+0Yxz12oMW6V1DbPTqqbiKKyFCxfCbExK0+CrfGZuDsIhsgMNvspn5sK1LzzGcORVhuDrxGTc/UYt
+7WyJ2wZjcjqaIAhiRiEhTeQFoVDo2LFjJ06cOHPmzIyqaDkpjdpZURTMSNsy2vEvsTe6NVV3YrgY
+v6HreklJCRZ4Dw8Pt7a25vr8TSe4jqGUVN5COoeYIfDapz+w/CQUCuGv5qOPPsr1scwI/f39mI6+
+6aabysrKdF1PGXmlabqmY6U3xmjhDMrs8dHcdj9RGKWjCYLIDiSkiTwim+LNjq8KCFcShSn2zjaa
+l6C/mLQH7hmFtWnTpsrKSgDo7u6eNbV2Yq4SCek854UXXsj1IRCzCmoWKBRm6/S7r3/96wBQVFR0
+y623pI+8Erlo/ESK1Yw7Lt2MMcDATvqZIIisQEKamHOIXWrPkAzOuGLXdnNuJ6TtyjEcKu1F1e79
+7L0AEI1GZ01SmhbT+Q/1rhMzAW2i5T9oJjJr9m1lOjo6MPrcdtttpSWl6dFW1Rxp7TRG4z/GueKk
+o0WhGVA6miCIrEBCmpjriFFYClOYoohpWM40ae8oLHkah3Ad6+3tnWWuY1TemeecPHky14dAzCpI
+SOc/s/VXE41GDx06BADLly+3PcZSQ60YecXFAGkm/jHmCGhSzgRBZBkS0sRcRE5Ki4y0bTdmK2nu
+GYVld2jpul1ZpqmarqHrWCAQAKcsrdCZlbmOWcYszkoROYSqUQqF2febOnToEO7jfObOz6hctWdc
+aaqqqbruuJSoKfOuHDVtj64UxWWUjiYIIpuQkCbmKCLKukLaSUwzhQkdnTr8SrM9vFVN13ThOnbb
+7bcBwODgYEdHR65f1sWCec7ZmveYHVBpNzFzUClKPoPX/izrkRah8+qrr163bh16jGGEFTHX6Yx2
+h2DZQlph7sgrSTyTiiYIIjuQkCbmLrKxpzwNi0meY05qWpPz0nK9ma7p1157LbqOiW31QoeEdEEw
++xJTRA6ZHe9dsxusRoHZ9cvCYq5AIHDnXXfqmp7SRSXnolW7rpt5fMbSRl6RiiYIImuQkCbmLnLc
+9UzDkkdhqVxVObfDearrGO6Ra6p2+x23w6xwHSMv6PxHZKRn02KayDnYLCCkGpHPzJpNtO7ubrQX
+uemmm0pLSu2AmuoxZgdezt1EtCOnaeQVQRC5hYQ0QUihF6dhMcXulZbJ6Dqm2jF+zZo1W6/eCtKy
+oKCh4uF8ZlZmpYg8gapR8plZVngvtp5DC0I1tTW4W406elyPMVtJM9cilEZeEQSRO0hIE3Maeffa
+NSwBZTKuY5ruFJ5pqsrVu+66S7iOFa7CKdwjn4PMmqwUkQ/Mgh3AucPsKB1qbW3FiHPfrvuwg8ou
+5xYRNpPHmGswxhgWdNPIK4IgcgUJaYJwsQdK4373+K5jToTX0G8MPy2ZV3LrrbcCwODgYOEWeKM2
+o/LOPGeWJaaI/IGqUfIcLBn46KOPcn0gF0t3d3d3dzcAbLxy47p165wNattjDD/N6DEmG4xxzkk8
+EwSRQ0hIE8S0ccstt2y8ciMAdHd39/b25vpwpg4tpgsCKh8gpgvxt0SbaHnO7Ki9Hx4etou6Q6F7
+770314dDEAQxRUhIE4VKJBLp6+sbGRm5yOdxXbtFYdiE9t2as0Num6FItzVNq6+vDy0IAUBTU1PB
+TfqlUuFCARfTBfcHRuQt4tonIV0QFHpp9549e3Dv5oEHHygtLU0Ppk4FmJbBrNtx6k4366bUNEEQ
+WUbN9QEQxFRob29vaWnB221tbc3NzRfzbBh9LcvC24qlMMYsy+KMWxyYZXHLNC1TNVVLtUzDMAxD
+0zXDNDRDM0wD/2mmBgAl80oeeuih/++v/r9oNLpnz56nnnoq16fqAqAMZ6FAaue8RKPR8TaGysrK
+ysvLc32AecrsSHjOYmbBtd/a2orXZm1t7erVq1WuarqmaqpsO6LpQkurKtp1q5xxzjgXTt0Ato7G
+pyUVTRBE9iEhTRQeIyMjQkUDQEtLy969ey9+eaEoimVZuKttgcUYs8BipsIZsxi3uGVy0zRNVVUN
+0zBN01ANUzMNw8AbpmECgKmb5WXlN1Xf9J/9/4nN0gcOHMj1CZssVVVVuT4EYlJEIpFcH0K+Mzg4
+uGvXroxf2r9/fwFdlVkmEolQB34+g0VYhbsT1Nvb29HRAQDr16+/+Zab0WdEUzVdc7uj8QbWfokh
+lPbMK8dbTDh10+xogiByCJV2E4VHV1fXTDytHJLT7bsZY7bXiV1vptqzOvSUWR14/1133VVZWQkA
+HR0dBdQsHQwG8cbFF8wTWWDTpk25PgRillBdXZ3rQyAuAPFeXVgMDw83NTUBQCAQuPez94ow6hZ1
+61JsVTWO2WhVlQOx69RNs6MJgsg1JKSJwsMjpGtqaqa32k10TQv7bs44V1XcF9eckK+qqoYWoxrO
+6sB/uJOuPfSFh3AaVlNTU8GVTFPCM88ZGhrK9SEQsxO69vOcvr6+XB/C1BHRcPfu3SUlJXaw1DVN
+13XdmYWh65KWdmZeqSpnHM26hVM36WeCIHJOLku7sUA3yyvCUCgUDofr6+upE6xA6erq8vzN1NfX
+T9eTi2ZpUeCNvVimZXKLmYxxzk3TxFIzTddM0zQ1zTAMwzB0TQMA0zBMzbRMq6Sk5IEHH/j7w3+P
+zdJHjx7N9ZmbFNXV1TRONv/BS2D+/Pm5PhBi9lBeXj48PEzVKAVBIbbhtLa2YnC5/vrrL7v8MlVV
+7eGRqqZrmuvZqaqabhuMIZiNxopuj8EYUDqaIIickksh3dXVNUM1uhPT09PT0tLS2dm5d+/eHL58
+Ymq0t7fLn1ZUVEzv71HW0gwYMLBdxyxgnHPLQtcx0zRNbmKPtKbZlmMAoBlCV+vr1q278cYbf/Sj
+H/X39xdWszQlPAsCKu0mppGysjLygc9zxDZHwZV29/f3Y2t0ZWXl7XfcYY+JFuVdmtskpWmaylWV
+i7nRnHGuMCY8xkRFN5CKJggi1+SytDu3FUqNjY09PT05PABiCqSno9va2mboZ7n90owpTMH+LHsG
+VmpHNK4J7MJuTdN0XdWwkVq78647V6xYAQAdHR0FkelFDxvKSuUzVHw7GQpOaeQJ9NeVz4jfTmH9
+eWNZFgAUFRXtum+X7SSGUVLXRQCVB1/ZOJOvUjLSZC1GEETekMuMdM6Lq1taWqaxKpiYaUZGRjzp
+6FAoFIlE0ld+k1wL1tTUZLT7FklpxphpmgpTmMWAAeMM78Q2LVVVTctEMC8NAJiONjXdMi3LtAzT
+eODBB/72b/723LlzTU1NTz75ZJ4vgPL88AiQtjnKyspyfSw5oL+/f7w9qUceeUS4GU++9rW1tTXj
+VN5NmzYVUBXJxbNp06aC2OwjoNBKu0VrdF1d3ZIlSwBA14WniDs42r7hmHV7BkczxwPUHnxFipog
+iDwgl0K6ubm5p6cnh7mvoaGhvr4+GvVRKLS3t3vS0enS+oLo6+trb2/v7OycYD9lvLHSFliWZZmm
+iVXehmGYpgkAWOON92CB95IlS+6+5+7Hv/U4GpYePnw41ydyUmcm14dAjIt4zyzcETgXg6gRTae6
+unoK5+SFF14gASmgto58phDrBcToihtvunHT5k26pgOAbNPtpKKddDS350enD46Wp0YTBEHkAznO
+SJ85cyYSiWRNS3d1dXnKuWlmZqEwNDR0MZp5PEZGRhobG8PhsKc+It11DMdKc4tZjAFXwQJLdfLR
+pqlpGgpp0zRNw7RMyzBN0zJNyzQMY9OmTe++8+6Pf/xjHKG5f//+XJ/OcSmsRMfcpBAX00T+g951
+JKTzmYIbIj04ONja2goAK1asuPPOOzVV03QNAIRT9wRF3SpX5aJu2WOMWqMJgsgTcimkkXA4nLWf
+VVFR4RHSucqHo9Ha0NBQfX19Z2dnTo6hsGhsbJyhZ8a0dvpvQS7wBhNMxWTKeQq8AUBkpw3DSU7r
+pmmaN99y8/Dw8DvvvNPa2nrzzTfnrV4Vpd1DQ0M5b74gJoAG/xLTC3nXFQqF0tMhWqOLi4vr7q3T
+VE3XdU3VAMAxF0thoqJuHBztFHWTiiYIIk/IvZDOJundsDmRCpgFxdtdXV3hcJj8wyempaVlCsXG
+49UaDA0NebIu531yjNznLfAGAGyWxkQ0KmoU1aWlpXffc/dj3Y+dPXt2z549edssTUI6/yErOGJG
+iUQi2dzgJiZPYVWjNDU1oQ98TU1NeXm5EMwAoDkuna5T98RF3SSeCYLIS+aWkE4PQjmRCp7DoFK6
+iYlEIukeYwMDAxfzu9u9e7dcmzDer2C8Am9mKjxTgTcAaJpmorTWTROru00Tu6bLysp27Njx3e9+
+d3h4uLW19eDBg7k+tRnI21Q5IcA/1wIq7yQKAnHt005NnlMQtQPd3d3YGn3NNddce921WNSN4hnQ
+bEzXNVVD1+6MRd0iDU1F3QRB5C25HH+VfdL1Eu275zkjIyO1tbWeO5ubmy9yB2Ty3y7Hb1Fgxjln
+cvUZ57iPbg/DdNxHcaqH+Kip2vZrt2/btg0Auru7u7u7c312J6KwUh9zCtQ5+VnRQBQucjVKro+F
+yEyh/GpEa/Tq1atvu/02TU2JhnqqvZimZy7q5pyLmEsqmiCI/GROC+lQKJRe7J0FcvJDCxFU0Z70
+SH19fXNz8/T+oMn8RhQH3CjnzKk9UzlKaFTSmiq1fNlTpVN6wWpvq120aBEAtLa2Dg4O5vocZwAT
+UySk85OhoSH81RRKnyRRQGCZA137+UkkEsE1TJ7XDUWj0a9+9as472rnzp2lpaVuSBTRUI6K9ib0
+REXdpJ8JgshP5paQ9rTC5sqvm9Lgk6SlpcWzpKuoqJgWbzbP0078G/EWlSmA7idoKIp1aK6aFulo
+oaKl8R66ppeWln6uvh6c1Uauz3EGbr75ZgDw2PIReYL4vdTV1eX6WIjZBv5R0bWfn4gFTJ4bDR46
+dAj3iGtvu62svEyX4p+mO17dkpbGTWgRScmpmyCIAmIOCemRkZF0VZbrgyLGpbGxsaurS74nFAod
+OXJkWvL5ni2V825tZCzwdj1FGeMSWOatqW5FNy4esIBNU7WystVYry7q3/IKodBoPZ2H4JtYVVUV
+lXYT0w4qtJGREZokn4fgG3JdXV0+X/s44hEANmzYcOutt2giFjoh0G16Ut2KbiSjUzepaIIg8pk5
+ZDaWvjKor6+f2lOl2z5fDBOvWubmmOt0FQ0AnZ2d05LMT9eHkz/Jrpz2OnhbpmUCgGqppmVplmU5
+Q7HcaVimaZn2/TffcvOvf/3rl19+uaOjo6qqKq+yi1VVVeXl5cPDw319fVO+RogZQiymc30gxCyk
+uro6GAxGo9G+vr65GXryFpEJyOe67uHh4aamJgBYsGDBZ3d9FuddeZuiZdduTeeOTTfnVNRNEETh
+MXeFdCgUmpoqa2lp8ZhIXyQ4U3q8rzY3N7e1tWXrJOUe7ItOb9Lr7OycLlGX/pcwmSXjeA7e3GLA
+OX4JACzTslQLLMsUs69Mw7Rs426BBVbdvXVDQ0Ojo6NNTU2oXXN97l2qq6tRSOf6QIgUxB4Qlt8T
+xLRTV1fX3d1N136+URA9HXv27MHW6Hvq7pk/f36KP4iuiS4nABAV3aKomztuY1TUTRBEATGHSrs9
+ecgpq7LpVdH59uNySyQS2bp1a0YVPY2jtqf8l+At8LYjvtMvLeq6VZVzjhYqwqTUp/t0XdR6q5qq
+lZaW7r7/fgCIRqO4i58/YIWn8LUi8gSUN+Xl5fmclSIKGuE1WCgG0XMEfCvetGlTXm25ygj7zBtv
+vHHdunV2nLNDoO7TfXJqGr8kR0whoUVsJRVNEET+M1eEdE9Pj8f8merW8o329vba2tr01du0q2jP
+X8IUChNs+24M+raDN8N/spxWZQdvzSlyc/S0runr1q294YYbAKC/vz+vmqVF0oMSU3kF/jry3Gpo
+psnay+/v78/1a80BZJGQn+CvI2+v/f7+fmyNrqiouPOuO91JV07U01JxrDntBmk7ejpF3aiiST8T
+BJH/zBUhnW5bNeWM9LTPXpqAUCg0LSbVeQ6Wc7e0tHgkLky3ioa01eGF/iXISWn8aFukKPY4LByI
+hXVqmkdJa15dranaZ+78DJredXR05NXCnfx78w2RJMzbxTQxCwgGgzQAL9/o6+vD4Jif1340Gt2z
+Zw8ABAKBzz/0eWyN1iYGVbTKcd4VZxw3pjnnnghLcpogiHxmTvRIRyIRT2LtYrRZW1vblJuWd+/e
+na5MZqILOn8SiZPJ/Le3t6cfMG4iTK/Z1dDQUHpd94XagItmacYYdjtjszT2SHPLAq6CBYB3WZbT
+FW3a7mPiDssyDMO0zAcefOAbB78xOjq6Z8+eSCSSJ46sVVVVvb29kUhkZGSEJp/nAwXRJEnMAurq
+6gYHB7F4h679fADjYzAYzE8hLVqj73/gfu/UaN07A1JVVXB7pHFytGvWja3RjDEgFU0QRCEwJ4R0
+S0uL557pTXJOkp6enuzk92pra/NHSIfD4WPHjk28GktPfYTD4eny6JZJbzjPyV+Ch0AgcNddd/3z
+P/8z7usfPXo010cEAFBXV4fV5j09Pflwlgi8qElFEzPNzTffjNc++fbnCfns1d/a2oq1VNdff31l
+ZWWuD4cgCCKrzP7S7r6+vvR0dPYnSI+MjDQ2Nubk9eaWSCQygSc54sla792799ixY9OuokdGRjwb
+GTU1NRf5U7xjpTNNllZVFTfj5YYx3efcpemapl1VVXXdddeB1GmWc4SjVV79Oc1ZxPCb/ExJEbMJ
+MUSArv18QIzbzEOLwcHBQQxYy5Ytu/2OO6SYpuk+3WMLoumaPDV64sHRBEEQBcHsF9Lp6ehsNjkL
+Ghsb5QbgObXNn9757KG5uRl/KRUVFUeOHOns7JyJesL29nbPkUz5t5DeKc1cHe0Mw0QJrWmqhlJa
+dy3H5OWFve5Qb7/99mXLloHkfZpzcMYSLabzAbEHREKayAKY/KRrPx/I254O0Rrt9/vvv/9+TVNF
+RHNjnNMsrWu6Kny8NVtRi8HRGD2pO5ogiIJjlgvplpYWT9lwTtLR7e3tci60ubn5vNpyymT/1U3L
+IbW1tVmWdeLEiRnaYhgaGvLUdVdUVFxMxbII83b4V4AxdxSWyjnHrXeVa6ptrJLRb8x2NFU1VVN3
+797t9/sBYNeuXcPDwzNxHi4IXLelZ/KJ7IO/gnybN07MVjD5OTQ0RFo65wiv/jyxzxCIOHX33Xcv
+XrIYPcYyenRLU6M1NBizd5rTBkdTdzRBEIXFbO6RTi8qDoVC2U9H9/X1yVnxioqK5ubm3bt3z9CP
+q6io6OzszB/lEw6H86HDNr2ufhoN3hRFYcBMxQQApjBgYFkWtyyLc8uywALhLpbRdcz+KliLlyyu
+qan53ve+J5qlc7tyQtk2PDzc1dU1p2oo8g3Rr4E1AgQx09TV1QWDwWg02t7eTqMic4i49vOtFKWp
+qQkrp7Zs2VK1qUpOPotGJo/HmA1XAUAq7KaiboIgCphZK6SxJ9mT+M1+OjoSiXg0c1tbWygUmrmM
+NL7MfNCu+UO6K3hNTc3FK0Nh3y0XpDHG0NDbAotbHI27VVW1hbRlWpZlmRb+v2VZFliyzL5m+zUA
+8L3vfW9wcHDXrl1PPfVUbk/dvn37mpqa+vr6urq66I8qV2AxRTAY3LdvX66PhZgrNDQ0dHR0oJAj
+LZ0r8NovLy9vaGjI9bG4tLa2dnd3A8CWLVs+u+uzdmu05rRG+3QfdkhrdvuSK6I555wDgCjoxiy0
+4gCUjiYIoqCYtaXd6UXd4XB42qdMTQyOR5Y18969e1G/ycdG4zpnlPSibpi+dLQn9iO41T5es3RK
+abfmFMLprknLtm3btm7dCgCDg4NNTU25PXsNDQ1YS5x+DonsIFJSDQ0N+VbbmbcMDw9PMJU9Go32
+S+DkHsLDvn376NrPLV1dXXjt79u3L3+u/e7ubtdg7PbbXYMxJ5gJUe3kou3WaLkvWrRG4+BoUtEE
+QRQoCg6/nWU0NjamF3XPhBH0BKCKlkWyPAhKDhU1NTXHjh3L9TmbnaT/FmAGBnfbqWUAAMCabcu0
+DNMwDdMwjKRhJBOJRDKRTCTj8XgikRgbG4vH42NjY7FYbCyN2FgMAI5+++jAwAAA7N+//8CBAzk8
+h93d3ajnOzs7KSmdfXCaXXl5+ZNPPpk/i+kc0t/fv2vXruz8rNOnT+f65eYSuvZzS2Vl5dDQUHl5
+ef7stvf29qLB2LJlyxoaGkrnl/p9fl8afr/f5/Ppuu7z+dANBNW0qmmqlJHmjCt2izS1RhMEUZDM
+wox0V1dX+ryltra23KroUCg0Q2bUxARkvzAhfSAWZ4xzLvLRclYa52ClWJs6O/p3fOaO5cuXA0BH
+RwcW0eUKSkrnkPxMSRFzBLr2c0hXVxdOvcqfhg5RJOX3++++++6S0hLZWsyOZL6UZmk36qlaSl80
+tUYTBDErmG1Cur29Pd1WKss9w5FIJD0LeuTIkWwqeQIAWlpa0gsTOjs7p/0HyfvookpNdH8xrGJz
+JkunlHfrOq4/RF2cWI7Mnz//i3u+iAOxmpqaent7c3gmDx48COMUyRMzSn52SBJzB1RxQ0ND6dvT
+xIySb9f+8PDwrl27otFoIBB45JFHVpetFlvAnhCmpQppMTJaGnRFrdEEQcwSZpWQbmxsTJ8aHQ6H
+Z0I7jUdGFd3Z2UlmLVmmq6srY2v0DG1npC8IPM3SOPDDdS7V7NHSPt2HPWY+3aelmp6Wlpbe+9l7
+cSCWsEjNCdXV1egZmz6Lm5g58jAlRcw1KCmdE9rb2/Hax03MnIODJNBNYMeOHatWr5INxjRd8+k+
+/NSn+3RN03SswZI8xtSUkdHUGk0QxOxglgjpkZGR3bt3p2+ZY1ty1g6jq6vL4y4G43SX5eG059lE
+V1dX9gsT5GWBPFwaJ0tzxrmqcpXjME1Vdeq4RUWcbjud2iNDdE3X9LKyske/+MVAIBCNRnM7XHr/
+/v0AMDIyQuvprJFvKSlibkIFKVlGvM2KHcycs2vXLtzJveuuu7Zdc43HYMwnOpREglrT0WNM1VSu
+cq6qnLkjo2lqNEEQs4bZIKQxCZw+OVk298oCLS0t6QO3xvNoISE9czQ2Nqar6Pr6+mwWJoBolsaV
+A7ebpVWu4j9bTTtl3nKbmU/34e4+9kuXla2ura2F1JxA9hFLuq6uLkpKZ4F8S0kRcxYqSMky4jzj
+9mXOEfVQW7duveaaazRNFeHJ3vxNjWKq6gQ4J95x4ReCPdGMWqMJgpglFLyQbmlp2bp1a7qnZTZV
+dCQS2bp1a/puPTmdZpkJChOypqI9tWr2/rtiF7WJNmk1TUXLxmMpmWpN337t9rvvvhsAcLh0rk4v
+JaWzRh6mpIi5DF37WWNkZARDWJ5c+2Jk9NatW3fdt0sVKloOUj45F21raXlwtG0U4kRDVNFU1E0Q
+xCyggIV0X19fRvkK2VXRGZV8KBQaGBggFZ1N8qQwAVK1NH7ESm/OxtXSkuepNJBTWppsv3Y7dnfn
+cLh0dXU11hiL3l1ihsi3lBQxx6GClKyRV9e+GBm9fPnyOz5zh3fnV9fdUJVqMOZV0YyLOIjPTCqa
+IIjZgZrrA5gK2Kw1nono3r17s5N+7OnpaWlpSVcU4XD4yJEjVLydTVpaWnK+pSKDiwPLshhjpmkC
+AOccv2RxYJbFLRfTMk3LtMACy7JMeyS1ZVkWWPi9eM9nd30WACKRSHd3dzAYzMlw6X379nV3d2Ni
+Ksul8nOHfEtJ5RtlZWWT1BioAdJZtWqVqOygMzxJ9u/f39/fj9f+jE4QnMsId/SGhoac/2X29vbi
+pu2yZcu+uOeL8+fP9+k+AHAltM/pjrbbor0GY4y7BmPCXQz7ooFUNEEQswIF1+iFwsQSOhQKtbW1
+ZSEP3NfX197ejvNdPezdu7etre28yq22tlZ8e01NTTYd0WYZE/8ucij2UCSLG6ZlWqZlmIZpmIZh
+JA0jmUwkk8lEIpFIJOLxRCIeHxsbi8fjY2NjADA2NjY2NhYbi43Fxuz742PRaPTvD//9e++9BwAH
+Dx7MiQdVU1MTVvpR58IMId4cjh49mvPFdEGzcOHCjPdXV1cfPXo010dXeIhr/8iRI/X19bk+nFmI
+qG6LRCJolp4rsI0Ih109+sVH16xZg5oZAHw+n8/v8/v8Pgdd130+nzRS2jYAUeWMtNMX7RkVmetT
+ThAEcVEUTGl3X19fY2NjZWXleCoac48zvbLHdmhZBgtwRnFnZ2f2859zk6GhocbGxoy/CwBoa2vL
+bco0vVnabZn2Go9pmlzjLRV62/v9PnRIzYvh0gcOHMAVXktLS7o3AXGRtLS04N/z/v37SUUTecWB
+AweCwSAANDY2UnPHtCPeUffv359bFS2PjH70i4+Wl5fbEcinOxHJJ5qPNKmkW1M1r8EYYyL2kYom
+CGL2ke9CGlPQqF0nSEQ3NzcPDAzM0Ihgmd27d2cUDzU1NdQUnTVQQo+3q1JRUXHs2LHm5uZcH6ZX
+S2NVGxa5eZql0xql3TWKvWqxp43opaWldffeGwgEIEfDpYPB4OHDhwFgZGQk3aaeuBh6enqEx1hO
+SvcJYgLka3/37t25PpxZRVdXF177dXV1ub325fEQtbfdVlZWJqKPPVFCik0eOZ3BYAyldNrIaFLR
+BEHMDvJUSAv9XFlZOXHiq76+fmBgIGstW+nb8BUVFUeOHDl27Bg1RWeB8xYm4N9DTU1Nro/UJqOW
+9hiPpctpd5kiuaGKbrSystVY1J2r4dJVVVW41ItEIi0tLbk+x7ME3B4CSa4QRL5RXV2NDep07U8j
+4mSWl5fnfNydGBldW1t77bXbdc1NQacHpowSOt1gjFQ0QRCzlbwT0pFIpLKy8rz6GZzW4izbesk5
+Z8yEnzhxgrrFZprJFCbgjsaRI0fysLQe1w1iSYH79LaSVjmuP4SWdv26fe5kEZ/PJw/EWl22Ggdi
+5Wq49P79++vq6gCgq6trvN8IcUHs3r0b0/uHDx/GAlqCyEMOHDggxkqnT0kgLhS5tCfn1748MvqW
+W2+RJ11hL7Q7ptHnDpUQKlrFmu5xDMZIPxMEMfvIO9fu2tra8xaL1tfX7927NydZR0x9Dw0N1dTU
+7N27Nw8122wiEon09fX19PRMvKUSCoX27t3b3Nycn78ORXEt/dDE2wILFxbcsgBUywLVsSUDANMy
+8aMFjom3BR4Hb8uytl+7PRaLHTt2DF1hnnrqqSy/roMHDw4PDw8ODra0tITD4Sw0Vsxi5PZIao0m
+8pzDhw/v2LFjeHi4sbGxoqKCrv2LQVz7Bw4cqKqqyuGRiJHRGzZs+Oyuz+qa7opnXdd0zedzXLs1
+tO22bbqFlmacc6k7GhSQbbqBtDRBELOO/HLtHhoaqqysHO+rqJf27t07C4qoPRZZefVbyAewYWwy
+fjbNzc15K6FlLsjEGwDi8UQiEY+PxdHH23bwHhuLj9nm3rGxWDweP/rto7gIa2hoyH5NoHB2raio
+GBgYyP/fQn7S09ODHafkJj29kGv3zDE4OHjrrbdC7kYMzg66urqwoaOuri63DR3d3d047Gr58uV7
+vrRn/vz5fp8fi6F0n24bdft8AGCra5/uJKQnZdNNFd0EQcxK8qu0u6KiIj0eo34+cuTImTNn2tra
+ZoGKJiYmEomc1xVW1NVPZthYPjBZE28VTbzdZjTZwRvHduLXsGlt13271q9fDwDd3d2tra1ZflGi
+WVr09xIXCv61A0B5eTm1Rk8vlNufOcgo4eIRp66qqiq3rdFiZHQoFEIV7dN9dhDyuR5jbtsRjo3W
+NDslfT6bblLRBEHMVvJLSAOA0EXhcLi5ufnYsWNnzpzp7OykPuS5w8S1/eFwuLOzsxB3VcY1HpNM
+vO11iapq+C/NylvXdJ/f9n3BBrbP7vosDsTq6OjAwrxs0tDQgM5nPT09pKUvlLxqjySIC4KMEi6G
+SCSCjWzBYPAb3/hGDq/9wcFBVNF+v//BBx+cP3++rjkOl36fnhp/5By0k4bWZJvu8QzGcn2+CYIg
+ZoS865HG4u1cH8WMIydRC0sNZoGMJyQcDtfX19fX19Pp8hAIBB555JHu7u73338f10OobLPGgQMH
+XnjhhcHBQVxM53Z8dwExMjJSW1uLlfkHDx7MbXskQUwBYZSAm2hzIXZPC0JFQ65bo0V7jt/vf+SR
+R5avWJ7rc0MQBFFI5J2QniM0NzdHIpGhoaGKigoSHh7QfxtVGTrZ1NfXF0T99nnBjXnLssQmPefc
+MAzOOABwi1uWpaopV6XcWS166dGAzAL7S/NK5j3yyCOPPfZYTrR0MBg8evQoDk0hLT1JPCo6y3sf
+BDEtyNc+aelJIqvo3F77sop++OGHV61eZbuK6Zrus9ujU/zGNA0APIOj0Z1bzLsSTt2UjiYIYi6Q
+X2ZjBDHrsWSDbtNEeWwYhmmahmkYSSOZTAJAMplE77G4bTtme4yh8Zh9w/4QH4uPxePxTz7+5B/+
+4R/ee+89yMX6DIda4+iUvXv3kpaeAFLR2WHXrl39/f3p95PZ2PQiX/udnZ2kpScgb1X06rLV9rxo
+Wz3bEtrnc2/oug4AnqlXOPJqgnlXJKQJgpjF5F2PNEHMbuRVBfaSgQKO5Zg9WVoeLp3eJi1SBLYB
+jE/HlraS0pJHH3106dKlANDU1JTlfmnMTWGNorCiJdIhFU3MMuRrv7GxkfqlxyOfVbRtuuGTIoue
+Mv7Kk4hOnxpN864IgpiDkJAmiGwjV7vZ7qZMQa9Tzhgqaca5KiGSAD6fz667w091H65+0GS1dH5p
+Q0MDeo/lXEvv3r37vDPh5xqRSKSyspJUNDHL8GhpYaFHCPr6+vJZRWMuWtfsUGIPuNI1n8+XnoL2
+TI0W8QuduvGnUFE3QRBzARLSBJEDxp2GxRm3p2HZSlpkAHASCWppZxyWlJr26bqmq6o6r2ReQ0ND
+PuSle3p6ROqVAID29vatW7fmw0qaIKYdzz4aXfsyLS0teaiiv/CFL6xa7eaiUxLRPvuGiDsiGNkZ
+acxK4/BGmndFEMRchX/ta1/L9TEQxFxE3rmH1BI497aiAFiggALSV0FxH2I53w62dRkooOv6urXr
+hoaGPv300yeeeGLp0qWbNm3K2uvy+/11dXUfffTR4ODge++919PTEwqFwuFwTk92jhkZGWloaDh0
+6BAABIPBv/mbv7n//vtzfVCzn8cff/zkyZPp95eXl9P5nwnw2j958uRrr72G135FRcWGDRtyfVy5
+ZGRk5J577vmHf/gHAAgGg//0T/+EM8NygkdFry4rQ28xTyO0z4+f+rBtyHYg0zRVUxlnuMHLmT2y
+0Z0eTSqaIIi5BwlpgsgZHi0Nkqh27wEFACywUEq7CxTL/SoIDzOwTMMEAMb4+iuuOHHiRK609O23
+37506dLjx49Ho9Hvfe9777333g033BAIBHJ6vnMDNkY+88wzAFBVVXX06NHt27fn+qDmBCSksw9q
+ab/f39/fH4vFenp6YrFYTU1Nro8rN0Qika1bt/7yl78E59rP5vuwB1lFP/rooytXrRIqWiSibUXt
+k/PTulsVpWqMMUxFyypazI4GUtEEQcwxqLSbIHKJZxcfVyS4KLFniqDlmKo5H13rMeGwKsq85WcO
+BAIPPPDAJZdcArmo8QaAhoaGo0ePlpeXg1PqOTQ0lOvznW26urq2bt2KL1w+IQQxi9m/f/9TTz2F
+f+rY0TAHr325lUM+ITnB0xe9fPlykWyWy7ldFW1LaF1VNSn6qHZBN7cNukUimlQ0QRBzExLSBJF7
+cPEhL0pwmcIdJ2/OOa5jHONUSUvrut/nx5VPwB/w+X1+n9/v92m6Nn/+/IcffjhX/dIAUFVV9eST
+T2IpIyZnenp6cn2ys8TIyAi6LgFAMBg8ePDgwYMHg8Fgro+LILIBXvvV1dXgXPt9fX25PqgsMTIy
+snv37paWFgAIBoOHDx8+cOBADo/Ho6LL15T7/D49ZRaErvt0v88vW3Zrmq5pmu7kot0sdNrIaHnY
+FUEQxJyCSrsJIsekd0enfEzpj/Z+m2VZiqJYloUPS8QTpmmCApZpz6nWNO3SSy998803z549m/0a
+bxin1DMcDs/uMu++vr6Ghobvfe97AFBVVfX3f//3t99+e64Pas5Bpd25xe/333///WNjY8ePH4/F
+Yv/wD/8wR679e+65J39aObzuYqtWabqmctXbFI17sn6/MxtC1yR3MVtDq1xhSsaR0UDpaIIg5iSU
+kSaI3CMvQURpNyjguKJy7nSludapqTOxMJNQVFTk9/v9fr/P7wv4A/6APx/y0uBUNmI+tr29vbKy
+sqWlZVYOyMEhN8KyGMu50cqYIOYgBw4cOHr06Jy69vOnlSNjLtrv82dU0fKkK00aGIGymaucMy5U
+tGdkNKlogiDmJiSkCSIvEKsQy7IsyzItEywwTdMCC43ETJF8ZoppmgpTAEDX9UAg4PP7iouL/QF/
+MBicP39+MBgsLSktKSkpLSkNzg+WlJQsXrL40UcfFfOlW1tbs/8Cq6qqIpEIzn0ZGRkRS+pcn/hp
+Y2hoqLGxsba2FktYg8HggQMHqJybIKqrqyORCLZ4iGu/q6sr18c1bQwNDaGExmu/vLz88OHDOb/2
+u7u7ZXexSy+71O/zl5SUaLrdHcQ51306U5iu62jHrTCFcw4AjDGMNQCgKIppWQoopmlalmWBZZom
+WGBaJkYrcNwuCYIg5hoKvf0RRF6BixUAEHI6mUxalpU0DMs0k8mkaZrxeNw0zbH4GACYhhkbixlJ
+IxaLmaZ59uxZ0zRHY6NG0jh79qxhGrHRmGEYo7HRTz/99Jv/55vvvfceADQ0NBw8eDAnL3B4eLip
+qam/vx8/raioaG5u3rt3b65P/NQZGhpqb28XwiAYDDY0NOzbt48kdG7ZtWuX+DOTqa6uPnr0aK6P
+bi7S39/f0dEx66/93HZEI93d3U1NTQDg9/u/9OUvrV692u/zc879AT8AcMaLi4u5ygP+AOe8qKiI
+MRYIBBhnfp8fPypM0TWdMaZpGlZ0A4CiKKqqggLy1GiRlyYIgphr0NsfQeQdnsnSjDGFKVjkjabe
+WGjHFMYUxjhTOdqpqowxzDZgNbiqqpw5X+NqSUlJQ0PD8uXLAaC7u/vWW2+NRqPZf3Xl5eVHjx49
+evQoGhFhIrdAM1QjIyMtLS3i4IPB4P79+yORyIEDB0hFE4QH3MJIv/YL0YPQ88YlX/u5PjRoampC
+FR0IBB794hdXr17NGUfXbSzPVlUVS7VxOjTn3P7IVcbtsIJV3ApjivOfwlJquXP9KgmCIHIPZaQJ
+Ih+ZOC+dSCRMy4yPxfGR8UTck5f+9Oyn4h45Lx0bi3366adHv3305ZdfBoCqqqrDhw/nsIvPk6EK
+h8NtbW0FMXUWK1S7urpEtydmoWm6Vf5AGel8pre3t7W1dXh4GD+tqalpbm4u0Gt///79eVKBEo1G
+W1tb0Qhj2bJl933uvtWrV/t0H+c8UBTgjBcVFQEA5qIZY8XFxYwxv9/PVY65aMxCY8m3pmkKYyrn
+diIagHLRBEEQMiSkCSIfMU0TnMYzwzSwX9q0TNMwTctEd+5EIgEApmWOxcbwo2EaY7GxZDI5Ghs1
+DfPcuXOGaZw7ey6ZTI6NjRmmMXpu1LTMsbGx3u/0DgwMAEAwGMy5G1Z3d/ehQ4cKZUlNErpQICGd
+/9C1P41Eo9Fdu3YNDg4CwPLlyxsefTQYnM8ZLyou4oz7fD5VVQNFAXBKu7GEW1VVv98v+qV9fh9K
+aKxvwuw0lkIBACggaryBhDRBEHMeEtIEkY/IDi6y/ZgnLw0AmJo2TXMsHjcNYyw+lrFfGkX16LlR
+bK5OJpNP9j0pbLEOHjyIVkA5xLOkrqioqHEIhUK5PTYAGBoa6unp6evrk8fhVldXHzx4ME+W0YQH
+EtKFQnd3d2trq+g0Edd+fX19rg8NACASifQ5iDvzSkIDwODg4Fe/+lVU0eFw+K6dd82bNw9z0UVF
+RZzzQCCAiWgAuOBctAJMsYdFp89oJAiCmLOQkCaIC8NzyUztCvKsP9KXI+MJacMwLLBEXhoATKfS
+Oz0vHRuLmYaJpd2j50ZFXnosNpY0krFY7Lnjz/X19Y2OjgLAwYMH0VI7t3R0dBw6dMjTvF1fXx8O
+h+vr6ysqKrJ8PLh67unpwZE2gurq6v3792OrJ5GfkJAuIKLR6KFDh7q7u9OvfRTV2b/2e3p6IpFI
+xmv/D/7gD/Jqpp0YcwUAN9xww+133O7z+Tizy7lFLhoT0QAgctE+v48zjlnoiXLRTiIaUiU0DpIQ
+hzHl9aQcAUmcEwRRQJCQJojzIK6RCS6WiRcTk1wliC/Jo7DERzF6xM1LJ5N4fzKZnGReenR0VNyO
+jcWSyeS77777zf/zTdTS+/fvzwenHADo7e0dHBzs7e0VCWokHA6LNPXM/fSRkRGRfPYMvK2urq6u
+rr755pvzahlNZISEdCHS29vb39/f39+f8drHPbWZ++lDQ0Mi+Zzx2q+rq8ufLDQi5/N37tx5/Q3X
+c87Roxtz0Zh2Fk3RACBy0T7dxzj36efJRaePifZIaJnzSuvJBESS1gRBFAQkpAkiA+PNxsx4v30n
+SHfKX1fkmxnK4carkbOnRjvrlZQCbyOJ2WkAMC0rmUhMNi89GjMNIxaLJY0k5qUB4M2hN7/zne/8
++te/hpyOxcrI4ODg008/jbpavj8UCuGSuqKiIhQKXeTaemRkJBKJAAAmoPC2IBgM1tXVVVVV1dXV
+5YOfEDFJSEgXNLiV1t/f/8ILL8j3z9C1j+I547WPEjo/r30x5ioQCNx9991XXnWlnIvGcm6/3y83
+RQPA+fuiGQMFVK6CAopd0O3d54VMAfE80RDOExAnqBinYnKCIPIQEtIE4TKeTpaT0pZnkZBagz3B
+k4/bWuasVMTDJlgrYGk3WGBZFgppTE2Pl5c2DROz0OfOncNp0pZpxWKxZDKJHwEgFot98ukn/7vr
+f6OWrqqqOnr0aL6tGqPRqEhTjze1KxwOYzc1rq0rKiqwHDQcDo+MjGB9puhyFMrZk3cSVFVV3Xzz
+zbiGzvWrJ6YCCenZAV77mKae+NoPhUJ4yYtrv6amZmhoSL72xVvBea993D7L9aufiKamJjToDgQC
+Dz/8cEVlhZ2LdgZE42hoz7BocEq7J+iL5tzrKJaunyEtIE4+GsJ4ATE1GkKmgEiKmiCI/IGENEF4
+A79HOQOAaZkAgPIVUi21vd8L415QivgPP2WKWDG43qeOpwtkWi4oimKaJv40kaC2TNM0LcM0jKRh
+mEYymTQNMx6Pm6b9cWxszDCM0dFRwzBisZhhGPF4PJFIxPG/eDyRSCQSiXg8/tZbb/3gBz948803
+cz4Wa2JwSZ1e+D0tiORz3r58YpKQkJ59jNf0MS2I5HOeX/umab7xxhvNzc3/+Z//uWDBgrvuumvt
+2rW6rmuapmmaruuqqmJftN/vZ5wVBYoY536fT1VV/KqmaTg7WlM1LlA5hiTG7FpuO/pIYQvSd5bt
+zy0RH1OkdaaA6D6bIiWlpdR3+rZyxo1mUtQEQeQcEtLE3GU8/eyuDMAWz7ZydtYKsq7GO03LTCaS
+n3zySSwWG43FxmKx0dHReCKua7o/4A/4A4FAwOfzlZaWaprmSmpFcavmnCUFLmJgnDWEfIR4p2EY
+2D6Nw7ESiYRhGJijdoW0U+Ydj8cNwxgbG0PlLKto/Pj+++//5V/+JeTHWKzJMDw8fPLkSQAQkgkL
+QQcHB8dLXlVVVQWDwWAwiMvlsrIyvEGZ51kGCenZzZy99p9//vk//uM//vGPf7xo0aI777zz8ssv
+1yR0XVc1Vcy1QlGNndKYfFa5LaQ556qqooRmjDGFgQL2DQAAYIxZlmXLXmepiOEGPAHRSrHGHB0d
+PXv2bCwWGx0djY3FYqMxwzR8ui8QCPj9fr/fHwgESkpKGGdiNxmDIPZjg6PfUdXjz5UDYnpkBFLU
+BEHkAhLSxJwj3TwsvQlZVsjiU8u0P549e/ajjz6KfhR9991333777aGhoTdef2NoaAjLp1HZ4moD
+1wG4ZEGjl4qKiksvvXTNmjVlZWXLly+fP39+KBQKFAWEoYu8nhhv3SALaVtFOx+TyaRhGEkjaRrm
+WHzMMi0hnk3TFBlpQUICc9Q/efbZ7/b2Qt6MxbpIxCI7GAzm/74AMY2MJ6SDweCJEydyfXTEjCN+
++0IwzwIGBwcffPDBU6dOVVZW3nbbbUuXLvX5fJqmqaqKIhk/xcxzIBCwzcY4RzmtqqqdkVa5ylUR
+nhRFsYukmCLv9HqTzxgHnYiZTCY//fTTaDQ6MjLy1ltvnTx5cnh4+LXXXnv33Xcx7qAvpmmaYFkK
+Y1g0jocxPzj/8ssur6ysXLNmzapVq5YuXVpaWlo6f37A75cDn3xUIhTK1eYkpwmCyCEkpIk5RLpy
+Bjn/7CSfPWsF0zIt0zJM49SpU6//6vXXfvXaa6++9uKLL7788suffvqpeHLGWElJCe61+3w+v9+v
+aVoikYjFYmNjY6Ojo7FY7JNPPsHkNjJv3ryNGzdWVVVdfvnla9etvfyyyxctWoQTR4ScThfV+L24
+xPFgmibmpW05nUxiRhpnR5uGLaSTyWQikRgbGxOJaPExmUzGE/FnfvzMf/zHf+TVWCyCuFDGE9IA
+cPr06VwfHUFcMDjm6pNPPtmwYcNtt922cOFCTdM0XdM1t5zb/ahpPqfMG33FOOMouTEFrXIVd3hR
+r9q5XwtA5KI9UdIhmUy+9fZbb7z+xquvvvraa6/97Gc/e+PEGziOEdF1vbi4WI6GnHMMhWNjY+fO
+nYvFYmfPnhURWVGURYsWVVVVXXnllZdffvnatWsrL60sKSlJ31+WA6IdE6UmKSA5TRBEdiEhTcwV
+5Cyu/KkoVLPnS0lJabS/jkajr7z6yr9+91+fe/65D3/z4cjIiGVZRUVFgUBgxcqVy5ctW7FixSWX
+XLJw0UK/z88kUOuKXLFhGLGx2OlTp99///3333//nXfe+fWvf33u3Llz584pirJgwYKFixZed+11
+d9111xVXXBEKhXw+nyIhl3wDgKIAVtcBWKbpJgpQ9ieTSeyXtkwLe6QTiUTSSGKxt9Mc7fZIuzXe
+iXgykRyNjb711tv/9+//PhaLQT6NxSKIyUNCmphNiDFXN91007XXXltSWuLTfaI1WtM0n9QFjdoV
+zcZ8uo8xpus65xw/YoQSpVKyLsVoKDZqQdRqmebo6OipU6eef/75f/3Xf/3lL3956tSpjz/+GPPe
+paWlK1asWLFixdKlS5ctWxYMBtEDHFPQqHVN19PDNA3z008//fDDD99///1333333Xff/eCDD3DH
+Wdf1RYsWrVixorq6+jOf+cyqVatKSko0TUuPhinV4LLVCMlpgiCyBQlpYvaTZh5mmabwDHNv2yrU
+7jY2T5069cILLwxEIv/2ve+99tprhmFgYfbq1atXr169Zs2a5cuXz5s3T25vFmSM3+kzQs6ePfvu
+u+8ODQ29/fbbb7755smTJz/99FNFUTZs2HDXzrvCW8JbtmxZuHAhTiLB5YIoaUOc58TlDtgrFed1
+YF46kUiIjDR2UMcTcRTNngZpW04nE/GxeDKZHHpz6Dv/kqdjsQjivJCQJmYN8pir3/3d39V1XdM1
+TXX7ojVd8+luRlqW06hpfT4f40yUc9ulT0xRQGGMjTeNAtuU3nrrrUgkcvz48e9+97sffPCBoiih
+UKjy0kvLylavWrlqzZo1WGEOAKK9WTzVxNHQAksBxbKsU6dPvfuOHQ1Pnjx58q23EvG43++/5ppr
+7rjjjk2bNm3cuLG4uFhW0fIWgFT1rShKiqImOU0QxMxBQpqYzaRbaltmhnJo0fqF05g/+uijp556
+6ujRo88///xHH32k6frSSy656aabNmzYsGjRopKSEp/PpzAFpOWCN1RnDNxpyxTB2NjYRx99dPrM
+6RcHX/zxj3/8/gcfJOLxYDB4zTXX3HPPPbW1tfPnz5e35GU5Dakl68JR3B6IZZqJRMKyLCzwRiFt
+l3DH4/F43L4hOXgnk0nb6Ds2+sknnxz+5uH33nsP8nUsFkGMBwlpYnYgxlz5/f49e/asWrVKTkTb
+Qlr6iLloty9aUznjuq4zzjjnTGEiKZ0ySsJyZa0FFm68vv/B+9/+528fO3bsF7/4xejoaCAQqKio
+uPHGG9euXVtaWlpSUqLpGsCEW8nni4YghTDMe3/88ce//vWvI5HIM888c/bsWdM0V6xYcf31199/
+//1Vm6qKi4s544y5uwCMKQB2WJQ/ygdDcpogiJmAhDQxa5Er00D2EgOwE8+mKVLQhmkkEomhoaFn
+f/Ls//2///eVV15RFKWysvKKK67Ytm3bmoo1fp8/fTIHpKwWLiBOp27+u1NDcMjz0NDQ888//8or
+r7z++usAsGHDhkceeeSGG26oqKjQNE2x8wioqBVwxoe4LxMs0zDFNgGanwk5jT3SKKeFwZjdMp2I
+JxPJZDIZG4slE7acPjNy5gff/8HAwAAA5PlYLIKQISFNFDrRaLS1tRVV9PLlyx948IEVy1egWZc8
+7wpvoEe37tMxU42mYpiLVpjikdBpZU0AzmRH0zJHz43+8pe//I//+I9/+qd/+uCDD3w+34aNG9av
+X781vHX58uVoTuYVq9MRDS0zZVP4448/fvXVVzEavvfee6qq3nrrrQ8++ODmzZuXLVuGqXVvvbej
+riFtVhZpaYIgph0S0sQsxJOIFklaVJgAYJiGKOG2LMswjFOnTvX29vb09Lz44ouGYVRUVNx2221X
+XXXV4iWLVa56xPO4iehJbHunX3EpM6id/5LJ5KlTpwYHB48dO/bGG28wxjZv3lxfX3/vvfcuWLiQ
+i5UQU3BUiSc1jdXdAGC7iFtmIp6wLEsMxxJCWqSm7dLuZAIltJyXNgzj6LePopYulLFYBEFCmiho
+otHorl27BgcHAWDZsmVf/q0vFxcXB/wBxpjwGJO1tF3U7fOpnKODN+aiUU6rqopqU2owVpQ0682k
+YQydOPGtb33re9/73okTJzRdv3rr1urq6rVr184PzueMi8M7b1nWeeW0lTZn2h2sBe4W89jY2Lvv
+vnv8ueP/8cR/nDlzpri4+Nrrrn3k4Uduqr6puKhYfkWePYIUTzJl3KhNEAQxZUhIE7MNaQQ0Vmx7
+Ta1FOhpTtR999NGzzz7b0dHxi1/8Qtf1yy6/rLam9qqrrgoUBVBC4xSrVKMvuw8M0lYLFyakrZSV
+hGdBAwCmaZ47d+7nP//5D3/4w1deeSWRSFxVVdX01a9u27YtGAxin5sCCsNhnKmrFtnnzLKspJE0
+TdNIGqZpJpIJI+kKaTTxtjulE4lkIhGLxZKGMTYWM5IGGn2PxceeffbZ3u/04pMfOHBg//79uf5V
+E8REkJAmCpf+/v49e/bgQOyrr776s7s+6/f5xSyrlIy0DxumNSzk1jRN1VRN1Tjnmq6JLLSqqvKg
+ZqawlCIm0zRN871fv3fs2LGOjo5f//rXwWBw06ZNNbU1lRWVuq4Lt0tIjYNuyFMuKhracVtx9TOk
+VpMljWR0JPrss88+/fTTb731lq7rNbU1/+W3/8sVV1wRCAQURVFVVZRrZZLTAIqt/ElLEwQxXZCQ
+JmYbYr6UEM8AgDahwtQaFw2GYQwODv7jP/7jv/zLv3zyySdr16699957N27cWFJSYhetKdJ/ntow
+R0VPeZ87xQItVU67u/LOhsAnn3zy4osvfuc73/nVr35VWlJyT13do1989Korr7LXRozZeWlmy2nL
+tvN23byTyaRpmclEElckKKSTRjKZSAqzbsxIo3gWGelzo+eMpBGPx5NG8uc/+/l3v/tdHItVXV19
++PBhapkm8hYS0kSB0tra2tHRgbdvuOGGnXfv5Jzrms45LyoqsjPSks2YnZrWNc445qVFFpoxxlVV
+AVBVFeTmYcWOMRggRkdHf/SjH33zm9/80Y9+BADXXHPNnXfeeemll+LwCJC2jzNGQ09e+oKioRzv
+7KgnyWlPNDRN8zcf/ua54899+9vfPn36dHl5+ec///ndu3cvX7EcfdTEKC/ng1u0JY5KLkonCIK4
+GEhIE7MHTy7XYycmqrhRQp8+ffo7vd/5313/+6233lq4cOHOnTuvu+46tMjOkH9OU9Tih7rh+YKE
+tLTR7j1++b/UV3T6zOkf/+jHvb290Wi0vLz8y1/+8n333bdg4QLOeEqZN1PAApFLNwxDnv9pmIZp
+mFjgjRiGIYq64/H42bNnxxPS8bH46dOnH3/88ffffx8AgsHg4cOHq6urc/2bJ4gMkJAmCo7h4eE9
+e/ZgObff76+vr7/00kt9fp8spIuKirweY7qucq7pmqrauWhbQnPOGOMqxwnMAIAxwo4plgkWJI3k
+O2+/87d/+7dHjx49e/bs6tWrd+/eLSyy06NhuqIWBz9d0dBKKe5OKfPGj4lE4t133/3BD37wox/9
+aHR09Morr/yv//W/XnfddUVFRYwz24pMqvQWLdPiBlCNN0EQ0wH/2te+lutjIIhpIGXoJXgltEhB
+G6ZhGMbrb7z+53/253/913997ty52267raGhYfv27cXFKd1Wtp8Xs5vJRDweb/AGFo7ZH8f75z4m
+cxOX07jm1GlLAl5RlOKi4rVr11511VWqqv78hZ8fO3bszJkzFZUVwflBcLLQ6W1pFli4eMJtfsWe
+QA3u80st1vauAQ7/dBYiXOUKU1SuWmAVFRdt2bIlkUi8/fbbsVjs8ccfHxsbIy1N5CGPP/74yZMn
+M36pubk510dHEF56e3sfeugh/KNds2bNl770pZWrVmqaFvAHdF0vKiry+Xz+gF/36R6/bk1VVVXV
+NV3lqqqqtju3k5EW5pT4U+wRFZZpmdbY2NhPf/rTAwcO9Pb2FhcX7969+/Of//zll1/u8/mYg4h3
+nvDnuTPl9oVHQ5DCohgNnRIKpS1txlgwGKzaVFVeXv7Jp5+88MILTz75JABcdtllfr8/fXvaxdPH
+TVqaIIiLgzLSRGHjCZkpE60cCY2JaMzEjsZGf/yjH//VX/3VwMBAKBRqaGgIbw0XBYpSNG1q/lmU
+gWGEB3DFJw4LcY/mwu1VxMY7yPXYloX5ZNsmLTVHbVnWuXPnnnvuuf/3//7f6dOnt2zZ8tWvfvXG
+G2/0+/3CxRTSTErdFD1YIiONpyWZTCYTyaSRRBfv0dHRWCyWNJJjsTEcRoLZ6WQyGYvFDNOIx+Om
+Yf785z///ve/H4vFgNy8ibyEMtJEoSC7cwPA9u3bP/OZzzDOdF3njAcCAcYYdkcXFRUFAgFVU4XT
+GMJVLueicUy0MLW2LAsrlQDA7og2zE/Pfvr4tx7v7Oz89XvvrV+//vMPPnjZZZehJ5mdvE3daZW3
+fcFjNpYe+6YUDRVnUSrHO0jreBJfNU1zZGTkiSee+M53vmNa1t07d37lK1+5/PLLdZ+OL1+cAcYY
+58zdr06N7Ln+/RMEUaiQkCYKm4wGXcJUzE5EO8XMWJb853/+56OjozfccMN9991XVlbmqeWWtXTK
+DQDw2IwpdgX1xD7eGQ8YILV4W5bT8jASy3SK29xVheDkyZNHjhz5yU9+UlRU9F//23994P4HFi5a
+aJd5S8kBlOWyJnfz84Yh13gL17GxeNyQxLMtoQ0jNhYzksbY2FjSSMbjiXNnzx45cmRoaAgAgsHg
+wYMH6+rqcv0XQRA2JKSJgmBwcPCrX/0qlnOHQqH6+vqVK1fqPp1z7tN9nHN/wM8ZF0Ia7cSEkMaO
+aHteNOfokck5l9uDse9YBBTDME6cOPE3f/M3PT09uq7fcccddXV18+fPl8OfPGVakYqoxGFnrvEW
+900tGiqO69g41iE4wFKOhgAQT8Rf+PkLjz/++K9+9avy8vLf//3f37FjB07SZqmIMitIL1YnCIK4
+cKi0myhUPHtAdgZamHIbtlW1nYo2jDNnznR0dHR2dgLAvffe+7nPfW7FihVyIlpUwKGjqdxVJTqQ
+5cIz+dOMIzcmADzV3ZByp6veLbfeW7xS8U3BYHDt2rWapr3++us/eeYnZ8+e3bJ5i67r8mkRW+/y
+83iOwf5hzD4M0zQt0wRFEasuhSmmabq5AluZG6qqbdq8OR6PY5l3b28vlXkT+cPx48dRnKRDpd1E
+ntDd3f2Vr3wFy7mvuOKKBx54YPHixYwzXdOFc5iu6aqq+vw+VVU1XfP7A253tPNRdEfbfdHcNs6w
+VaLlljsZhjE0NPT7v//73//+9xcsWPDoo4/efvvtaLGJEYEzbmebpXiXQno0PG/r0/ihkDHmyXuL
+bez0feoUz217gBdwxpctW7Zu3bqPPvro5Zdffu655xYsWFBZWck5x4fZW96ZZHOGrQGCIIhJQ0Ka
+KGzkbK1pumM8TJGMNoykkXz77bf/1//6X//0T/80f/78r3zlK7W1tfNK5qUHe1cSM1tOu0sISFkf
+jNckdlFyWorxtuaV0gGQ9gBFUQKBwIYNG1auWvni4IvPPvvsBx98sH79+pLSkpRJJCmrDu8zAMg/
+Aiyw5Bdld0pzpuBwEfkeUBhnqqpWVlauWrX67bfeGh0dPX78+BNPPLFp06alS5fm+k+DmOscP378
++PHjGb9EQprIOdFo9Ctf+cqhQ4disVhRUdENN9xw9913B4oCOM4qUBTw6T6/34/d0bpPD/gDmqYV
+BYp0TdNURz/jf6rKVY621SikXVEKANIMi0Qi8fzzz//e7/3e8ePH165d29TUVFVVpeu6HfKkbC0W
+hyuO8XXKrqsUDacsoSeAKWnSGlKjodw+DYCfzp8/f9OmTUVFRT/72c+efPLJoqKiyy67DHeWnWdQ
+wPEBEZvU4tuBhDRBEBcOCWmiIPFOYxYzoi27oBvduTEj/ebQm3/0R3/0b//2b8uWLfvSl7509dVX
+a5o2ccj3TNHwLBSma+mQ/o2QMV0MUsAXOPdzzpcvX75ixYoTJ048//zz77zzzqaqTaWlpZ6ngrT9
+fvc2pAwIseeHKW6pOWPMniJmmoqimJZpd48rjHNummYoFLzqqqs+/PDD06dPf/DBB729vTiDNNd/
+JsScpr+/n4Q0kZ8MDg7ed999+Pe5bNmyXbt2bdy4UdVUxpjf59c0DdPLPp+Pq1zXdZWrfr9f1VRN
+13w+H0690lR8oKOiuWNZ7ewIgzOfWUys+MlPfnLgwIFf/OIX4XD4y1/+cllZmfCVdCOOFJeYnH5m
+0n+ZhPS0qGglLXXs3q94t4MFeKfP56uoqPD7/S+//PJPf/pTRVGuWH+F3+e3fTTBiZupleeKIt8m
+LU0QxAVAQpooPDx90a47t5EyI9owjEQi8frrr//3//7f+/v7Kyoqfud3fmfjxo2ihDsl9qcuAOw7
+IVOMl7qm3Yie8aETLBQUqdHaeUK0WpHjPb5e/BZ3KSAh6rGxsO31119//vnnX3nllSuvvDK0YEHG
+TjBFAUVJvZ+JYwL75DBF5SoogO12jNuu3ejgDQCi9wzT1Jqq6T790spLGWPDw8OxWOyJJ5744IMP
+rrnmGr/fn+u/F2KOQkKayE86Ojr27NkTjUYBYPPmzffee+8lSy+xs826HggEdF3HXDTmpQOBgN/v
+9/v9+BhVUzERrem6pqqcc+5qaFs/205allPObRpjY2PHjh078Ad/MDz85vXXXb+3ce/SpUvtRmhH
+MYPczeQJeeCNkpg2dguwRY/0FKJhaijE58mQlHZCHnZTe8zM8DFc5RUVFZdccslLL7304x//ODoS
+3bJli8/nk82/ZYszRVEAKC9NEMQUISFNFCRCRdsS2pLMxSRL6jfffPMP//APn3nmmSuvvHJv497K
+ykrby5TxlE13xsSmO+NuOTf6teACQpQ6u19m7rcrF7oZL0lxsXqQG7PdTLHIFTO7jVmsVBhjdn0a
+UzjjCxYsuPzyy997772BgYG33norHA7Pnz8f0vLSsm2pd63krDUs0874o4BnjJmGadvAAIAFoIBp
+mgpTGGeWaS9K4vH4ihUr1qxZ884774yOjg4ODn73u9/dvn07lXkTOYGENJFvRKPRz3/+84899hgA
+FBcX33777TfffDNmmNEzTFVVXdcZZ9gRLbqg0bVbVdUUmzEu4ahoIU3l0RWGYfz4mR9/7Q++9s47
+73zmM5/5/Oc/v3DhQjuoMS42ZO2hDxh6OMPuZTQekzeg8RaXg6UUCqccDVPqxqVicjdESiFPSdvC
+xjPMFKaq6uqy1cuWLvvlL385MDBgWdaGDRt8fp/olBa4oY+8xwiCmBIkpIkCQ/bqdA2s7Xpu0zRN
+lNDJZPI3H37Y+vWvP/nkk1esv6KxsXH16tVueGYZss1CSwOkmI3JYhuPwX289M0XBIxTdw1OaLez
+05nK2ERlmvt4sLfU0X7s9ddfj0Qi77///vbt2/0Bf4pYTl2XyMfAFCbfyzlXROaZM4UpmqrZM6VB
+dEpzVdUUBTAXYhgGY7y0tHT9+vVjY2PvvfdeNBrt7u72+/3bt2/P9R8OMecgIU3kFf39/Xfddddr
+r70GACtWrHj44YfXXbEOq7WLAkU+3YeK2h8I+HRdzI7WdC3gD9gTpO2Sbg37olXVldKYW3b3Q3E6
+g2VvK//iF7/43d/7vTeHhmprax966CFh0C2iYUp3tCOVxY6tq3hBineSdSW70BAoRx8m1VqnFny5
+Kjd1/9c+oWnzMhSpsGvZsmXLli176aWXnn/+eZ/Pd+WVV9reYx6DsUwqmrQ0QRCThIQ0UUgICQ1g
+maablDZMwzItHHOVNJJG0nj/g/f/4s//ore3t6ys7Hd+53dWr17tDsBgkpyWZbBwVnHucbPQuDGf
+6uCdsunuhPnz7sRDWhd0+gMAQOh2Vyc76xhhIeZqY2fNwRRWUlJy2eWX/epXv3ru+ec//vjjqqqq
+ouIizCHL6x5P3bj807EdWmGKSDUrCrOwR9qyFMe127IsBYAzhp8qoMQTceyrVlV1zZo1RUVF77//
+fiKR6O/vf+2116qrq6nMm8gmJKSJ/KG1tbWpqSkWiwHA9u3b77333mAoiDOfVa76fD7GmU9Hj25N
+VZ2OaE1Tuar7dJWrmqYxzmR3MScPzdAPEjdD5RnLGBxffvnlr/3B115++eWbbrrpkUceKZ1fqihK
+xmgo0s6KFOjk2iv7AeBGwAkKsiYZDUHesJYEvPhqiq33+GZjbpU5AABwzpevWL5o0aKBgYFnnnnm
+kksuufTSS7EXyS0mB5CluwxpaYIgJgMJaaJgkFS0bdYtOqIt0zIMw0jiWGTjk08++bu//btvfetb
+l1xyyZe//OXLLrvME9FTtthZSvC21wdOw7DdaaZAumxO7wRz+83GWzcowBRv37KipGhacLbVxcMs
+y5IjfUortSVtqDttX6WlpStWrHj5pZd+9rOfmaa57ept9igUJSUVL/9QRZodKh5s558VN2WhKIqq
+qgCAOWp7bccYpkSMpCHS14yx5cuXr1q16sMPP/zkk09ee+217373u+vWrSsvL8/13xExVyAhTeQD
+w8PDe/bsefzxxwGgqKho586d119/PWabfT4f+m/7A37Zo9vn8/n8Pl3Ti4qKdF33+Xyapvn8Pk3V
+cCCW6vh0c+a8XSuuuxh2OuHO8nvvvfe1P/jas88+u2nTpi9+8YsLFizwlGXZ8liEPKf12X5m2UdD
+lC9NonJ78tEQUkOhJzzZN0SaWtoCtqOk05EkoiEAiC8tW7YsEAi8/PLLAwMDK1eurKioYJx5LLtl
+XS3rZ9LSBEGcFxLSRGGQoZw7tQEMSSaTsVjsn//5n//iL/6ipKTkK1/5SlVVlZ1SZm6rs5huJTby
+3Xw1LgK4dDtNhDtPwhQxopllqG1zO9Zg3PWE+JJnYIlYFYFjACZOhfwl/JkWWKJxGtvbLllyycqV
+KyORyPHjxxcvWbx27VoUwJ5ENKSuHsSdpmUqiiK2LdDchTEmTrv9VQWwR1phClgQj8fxfvHLmjdv
+3ubNm+Px+DvvvBONRh9//HEaNE1kDRLSRM7p7e196KGHsJx7zZo1Dz/88MqVK1VVVZiCpmKcczEp
+GrugNV3jnPt9fizftr27Odc1nXPOVc44S2mKZvY8J/zPVtGGYRrm6dOn/+LP/+L73//+5Zdf/ju/
+8zvLli1TnLSzPOvR3ieVzEHEhi8ahTDXSMQbGb2Bkl14NJRVOnN2deUHu3OvnMeDFCUdXe42Trvd
+1sA5Ly8v9/v9zz3//E+eeWbr1VuXLVvmNUibuNOKIAhifEhIE4WERz/bdd2GgWOukslkMpkcGBho
+a2+Ljca+8IUvXHvttW4yVtKuuOmewZqbSUFdkerKUnfi8WA8kX4CIFMFtSdyi3tQgioiFy31idle
+38JwVO4QA2+8X7xkcVFR0eDg4K9+9auNGzcuX77cewBORkJ+Cc5zpmwf2NsNWEKoqnbvNGfejLRh
+4DoLiw5t929VrayoXLBgwcmTJxOJBI72vf3226nMm5hpSEgTuaW1tfV3f/d3sZz72muvraurKyoq
+UjW1KFDk9/mLiorQiFueFO3c9vlwmnQgoOmaT/dpmqbpmspVTdU44+57rGK3/GCJFmKYhmmZ8bH4
+v/zLv3zzm99cuHDhb/3Wb5WXl6dEw9TqJDfqgRsgZKMQGEcAQ3oozDjtYvznAXknF1IeiaSoWSUl
+BZ1+v7vP68A5X7169UcfffTSSy+d+vDU1Vuvnlcyb7woLP9Ez2EQBEGkQ0KaKADkom7xqWmZJtau
+YUY6aRiG8dZbb/3RH/3RK6+8snPnzs/c+Rmfz2fvo6c1ennmQov+aVH2JtLU8mNQynruFI93pbhn
+V16607sUkfQqgPvkkGapLXqkpWWMXRfnGpwijkPMypUrz507d/z48eGTwzdcf4NYPbiLpvQicwtA
+AebIeMYZjiHFp8WqcsxO452YpmaMWWDFE3F5ZYO/MlyKLV60+PLLL3/nnXc+/fTTkydPPvbYY+Xl
+5evWrcv1XxYxmyEhTeSKwcHBz3/+8729vQDg9/vvu+++q6++Gj23VVXFXmi/36/77Iw09kL7fD70
+HlOdCdKapnFVxRlXqvPRDUxOCAB5l9kwTcPEPeX/8T/+Rzwe/+IXvxgOh92maKfPOT0auoXicuEV
+8z5SRENFykun7L0ybzTMGCLdLV3xnLKkz1QfDk4k9Mp1ZytZ3uwGsMu2NU1buWrlO++88/zzz1uW
+tXnTZl3XM+wdpGl7oKQ0QRATQkKayHc8Rd2mB8NMJpOYkT537tzf/d3f9fb2rl279otf/GJwfjBd
+qXqmRkNqZbXimFqL8mnFKYQDx9rau4PufJsQt8qEQKoZKaTWkrnB25mWmXkzXhoAJp5N3mtAVFVd
+tWrVq6++OvjCIFd5eEtY0zQ8CE8ywd1oAIUpTLRhO3ZrKr40sbnAOccp0/iEjHPOmJE0XPMbxnDS
+GHp9M86Kioo3b9qEZd6xWKy3t5fKvIkZhYQ0kRO6u7u/8pWvnDx5EgDWrl378MMPL1++XPfptv+2
+7vP7/T6fr7i4GBuesRfa5/OJSdGartl90T6fpqqarmErNWMM5XTqLqsi12phl9Pbb7/9x3/8x7/6
+1a9uv/32nTt3appmS2hPBRa4YhUkcy+7azqDE4jiiYYpG8Gp2ebJRsO0vmiPdhWdTYqiuI3QadEQ
+nNgKjpOI/CTziuctXrz4+PHjg4ODFRUVl156qeJYfKc8W6Z+adLSBEGMB7v4pyCI7OBtjTYkNW0Y
+Y/GxH/zgBz09PQsXLnzkkUcWLVokZ6FT5KLosJJT0Q6cub1nWLGMIVY0Wsv7+mIz3h4HlambOvOd
+ii1H3UMSrWv4bGD3d7n7/ZyJdIHiyQM4Q6fT1y2LFi3Cs/Gtf/rWE//xRCKRwGUWnj35lMq+ZW42
+HhTOVS4dg8iH2KaxdoOfhkkVrnJN1VT8VNVs7EktXFXV2traBx98EOu6Ozo6br311uHh4Vz/ZREE
+QUwD0Wi0qampqakpGo0CwC233HLffffNmzePq6p4SxR5aU3TdE3XNR07pRlj+H6JXTO4KSlmRIvQ
+AHK2FgANumUVbZrmJ5988thjjx0/fjwcDt9zzz1YmeUZcJjisgn227u7cayAHXNSwV1meyyiEwqF
+/wjeL77qFeCZoqEi5mLIAZqlVIqJGdfynZ5o6NqagL0d7OnSYoytX7/+85//fDKZ/Ou//utXXnkF
+4yCeMTcgSlEx139NBEEUAJSRJvKajB5j6NONITCRTCSTyUQ88eabb/7pn/7pBx988NBDD11zzTbG
+UnyqPfE740a7905R/KZIlirOFE258FuRc93j77uPe6dTsI0v2V4eeaZlSqbcIl0sngRtvdNPHd63
+cOFCv99//LnnhodP3njDDaWlpRnq5ZxteFFcxzhzLM2dLQaVizOJ+wuc2dWGKPIN03AWXu6iym2i
+Zva6cMHChZs3b/71r38djUY/+OCDI0eOUJk3MRNQRprIJoODg3v27HniiScAIBgMNjQ0VG2qwqyy
+T/ehtRjmov1+v0/3FRcXYwpa0zQ7I+1MilY1TffpmIXmKtc0uwJcYYrqpKPFz5UHWKBXyE9+8pO2
+tja/3/9f/st/KS8vl2uwQQpV3mJsSNmZlQutRazJmGQWxUoTxLsMLUtpoRDAW2UtXmNKNHTKrzDG
+eYdgpaejndYkRVGWLVs2MjKC8yw2b04t8E4/GOkYFEpKEwSRCcpIEwWAV0Kbplg3oJyOJ+L/+r1/
+femll9Zv2HDjjTdqmi5rRDk1LZYU7p2iQctZCog5WK6iFjddnZiyBJGXJumJ6PHulHvDFGdb3V30
+uD8/JbeOe+0T9Kc532Nv5KuqeuNNN15xxbqXX3rp6NGjiUQimUyahn0mTWcHHpzcBoA7WlP8XMa5
+7RSLqljlKlcZt/MAqipy0SqOOdU0jXOuiZy0mNfCOeds3rx5Dz/88C233AIA0Wh0z549IodDEARR
+cGB9zeDgIABs2bKlsbFx5aqVongHEe+Ezihop2hH13GTEd9Uuco5lvyoHFOvnDHOVdxyBXx7tgAA
+LAyOppOONg3DNKLR6OHDh8+Njn7mM5/BAmZvyHCmV3hijbjTTl9ndBeT4oxcXcWEV8iE0XCC+Jhy
+g6dGtJSbKVOvU8K0FCDFGAtPNJw3b96dd965cOHCf/u3f/vZz36G1VmiRMt2MLXcsEh5aYIgJoYy
+0kT+IqejMbCJWu6kbdGdTCQTiUTixcEX//AP/5Ax9l9++7dXrFghorLodpaVtLsxL4Xk1B3yFLdS
+sTxwhLi3rdr7JBP2hYm5HPanaXvtGe4fD+FTClbGswfO3rymaZcsueS55557+eWXw+Hw0qVL3Z8i
+C2anKc7Vz6KiD38gNj47ay+0kMXbnHHLsrAw3in65qil7ew0Z3JqWmFKWVnZpZdeOjQ0FIvFBgcH
++/v7y8vLadA0MV288MIL/f396fcHg8H9+/fn+uiIWcLw8HBTU1NXVxcABAKBO+64o6a2xh/w+31+
+v8+vazrmmXFGdCAQ8Pl9RYEin89XVFzs8/tUTdU1PeAPaLqOWWi0HNN13WmKsZuisa3G0xssx0Qj
+acRGY0ePHj1y5EhlZeUXHv5CybwSN1HsJJkzZo9daZ1ewOV4anh1OICnsskraydukXZ6kkSzlQh5
+3vZsSMkzi3tSEL3Nzhgw9wnlYi5FCQaDlmUdP378nXfeufnmm/1+v5Ja/CUCtOxDBpSUJggiE5SR
+JvIXuagbLBDpUymNapmGGR2JHjly5NOzn95yyy2VlZWKVKbl2lk7+9MZGrdSq9Xk3XG5WQsA3Edn
+ks0ib2zXuU38L9UCTRGb644HmOenCzXvOe4MyXPp5YtjZYxdeumlN998czQa/fa3vx2NRu1uOuc8
+4rn1nH85ayH2EjALzSVXMUykuClnlbt95kxJJpOGYXj2DsTvd+nSpY8++ujatWsBYHBwcNeuXZSa
+JqaLhoaGYDCY8f5cHxoxS2htbd2xYwe6c19yySX333//5s2bTcMEgLH4WDwRx4wxAJimqSiKGM7E
+GMPyHU3V8A1Us30kVKcJhmOHjKeHSCA1R9sx0TCMk2+d7OnpURTljjvuWLhgYWaVm/quLt+ZMmtC
+Dj1u+llxk9VSNIQ0m7HJ/svkIeI5WvFzx+2fSh3lKB7thP6UM8AYu/HGGy+77LKXX375Bz/4AfqG
+uA3SpmWZFmaoxSkGyc+MIAhChjLSRJ4i4hbKaDE12jRtm24sUU4kEk/3P93V2bVi+YovfOELixcv
+zhCD03SziNYg7cenNzx7aqo9zyw/m3yf/CqUtNyy95GpdqlK6uZ3av9XyjOAZXdHQ2orlyfeR3Cr
+QwAAgABJREFU2+s2sDRNW7x48YsvvhiJRDZs2FC+ptyzEQDgZu/FAYhcNL7M9CnTQksripI0DEVx
+Z3ExZ8gKYwy7+9wSAKfVXGGKrunr1q1jjH3wwQfJZHJwcPCxxx4DgO3bt+f6b5AobPx+/0cffeRp
+kw4Gg4cPH6Yx5sRF0t/ff9999z3xxBM4Jvqaa67ZuXPnkiVLNF3TdE3XdJWruq7rPt2n+3w+n8+P
+s6F1cWNeyTxN13G6lW3f7dNVCY7bko7fBP5cpjB8kzdMA2u1jKSBoXBsbOz//J//88QTT2zbtu1z
+n/ucz+dTpMosSDPyEMLSfnIcvggpe8fCazPD7Eap2stTH+4JhemRUb5fVvKQmo52Hyby0Bj45Oy0
+YyAihlwoTqc0/ifmS4svBQKB4nnFP4v87O233968efPChQtTSsGl45clOlBSmiCINEhIE3lKhnS0
+5NGNJJPJT89++o2D3zhx4kRdXd22bduwx1gu6vaYjdlfEm5bIq8rbcV7TFnA2X3PPAskdTN+3C3z
+tAdD6hyslFCtgFyZ5hmChcsIUc7tSu70c+g8Bi25S+aVxOPx55577uzZs3fcfoc7EMVZxaBra8rT
+Cn3uTJD2TJm2B00DgAKmaWbIMChMcYoS5dy2+BTP+qpVq6666qrR0dEPPvggFov19/cfOXJk3bp1
+VOlNXAzV1dXbt29///33T548GQwGGxsbDx8+nDFNTRCTZHh4eM+ePX/6p3+KtTNlZWWf/exnt2zZ
+EggENF1DL24Uxmgzpus66mdd1/EOvNPv99tDEDhHUwlMR6uqxpjifMmrS3H/FKux5HlXSSN58uTJ
+P/mTP0kmk42/3bh82XI3YwwZMs+emCicJW05Lb2Be7QxE7be55tuNYVoiDpZHkblmRMJmUq7bbWM
+T4P3iKAp7Ti7D1aUBQsWDJ88+eLg4IoVKzZu3KhylSnufyA1PXkPkiAIQkLN9QEQRAZS0tGiDcwy
+DdM0DUN4kxqG8bPIz44fP75w4cKbbrqJc45hD1J9RjLGb4BUV1Ix+SmT9ajc2Czu90Z9BQBAHMB4
+2BXUFthq2XKT0qL2DwAUpoiiMjxOt4TPssS0ZwYMnxMXB5Aa6RkwUzEVRQETsJjwhhtu+Pd///eB
+gYHjx4/fdNNNjDGDmQoYeKZMwwTLGSLqmQtq2SoaLEhCkgFTVRWFugKKBZaRNJJaUmQzBFijCAA8
+we25KdKgaR7ncRZHw5rS0tKdO3dWVVU9/fTTb7311vDw8K5du+rq6g4cOEBympgy1dXVNK6cmBai
+0eihQ4c6Ojrw0/nz5998880bN27knIvJVrqm49hnALBVtaZrmqbrupaK7tM1TQMAptjTDVRNUxRQ
+VVVIX5W7izRFUQzDEFHAsix5T3ksNvZv3/u3M2fOVFdXrylf48Y+SH0nHycacmnXGO/xaG93Ezm1
+pTnjvvBkoqGYKyFHQ4UrdkG1YoEFiqW4N6RoiLHSNE2MQQwYKAAmWGCZYAdQjM6Kk1gGC8AEAMBn
+nFcy747bb3/+ueeOHDly1113LVu2zNk24ErSsDgoiqKY9naAkOWeTW2CIAjKSBP5iGfkFQ67Er6a
+SSOJxioff/xxV1fXq6++et99923evNlrXi2VELsDM8VOubOvbX/JU/Ym9X3ZD07V4+JL7r6+/CMy
+bsSD9DF1bSI2DhQlZRverUYTCw7PiQILFKd+W3IXA3ANV0AK/z6fLx6P//znP+ecX3PNNbpPl23Y
+0g9b/CCxjHC3DwDs0dOKwhTFcn6KUyWY0n2uqqo96Tqto5szLn40U1hJScmGDRuKiorefffdZDL5
+2muvHTly5KOPPiItRBBEDunt7f3Sl76E060A4Jprrrn77rtXrVql67qGw6A13e/zYzm3ruuqqtop
+aOcekZ1GZe3TfWjWiE7d2ALDuJ2DFtOVcQ8Uf2jKAAtp3lUymRwaGurq6jp37tz999+/evVqxWO3
+6XQ7u+/AYr6jCHCpWlt2BlHGleHug+X39lTfkczRUK7fFqETX6b7GHA0tnO/fQ+eDQx2ln2P+ylI
+D8AEvlRR5TwXhIKhV1999Y033li+fPnGjRvlk5Py2tPifq7/EgmCyCNISBP5iCcjLSZ8iN33ZDIZ
+j8cjkchjjz0WCoXuu+++RYsXpTh1SSrXHXmlpPRx4T0pdW6yN6lHJ3OWntx2bcnkNYpHLoplg3xD
+8sqWe7fE49OHRcs7/W4zGCgpyjbV0NVND0hJBn/APzg4+Oabb27ZsmXZsmVYugdSR3TGJjf595JS
+de8sckzLklxdbKM0cXLsMkWpOx2dyxTJSMY5h0xRlGXLll111VWWZb377ruxWOz48eNHjhxZunQp
+jZsmCCLLDA4OfuUrXzl06JCo5X7ggQc2btwYCARsAW23QjtS2edzS7sR3e6Lxrw0/h82P4NUCcWd
+GdFKWm0UHgm+5TpjH+1omEgmxsbGfvCDH/T29l555ZU7777b7/enxzI7kywqt51ZVsJFLE0cp8bB
+VEtOT3RLGYIlAqHXmdMbDVNue1qRxVdE4Ev1EwFPQ1O6oYg81cJKEecYdtEm8/nnn//000+v3rat
+ZF6JeLEAwB2btxSTNhLSBEGkQqXdRN6RXtdtmZYFloFG07gHbyTPnTv31FNPffjhh5/97GdXrV7l
+ztiUA55U4Q3yPrcjL139KcXylCguBXwppivpkTVlEZCGyDCLn4ivTnEqx0Cu+rYAK7fxkVixZqtl
+y7aEMS1TcfbhU9SyAk4ns/sMcsZ7Tfmabdu2/cu//Mv3v//9qqoqVVWTSpIxZimKqJdTHHdZ8V34
+qcpV8VTMdDxvDMM0TY25WXo58884Fyc/xfrVHoXFEokETs/inMfjcfSpTSaSpaWlO3bsqKqqeuKJ
+J7DSe8+ePdXV1QcPHqRKb4IgsoCnljsYDN52222VlZXOYGh7KDTmn3GQFepkVVUBQNR1Y9k3flFD
+FzFnnDQACDsxse0oC1SP76ZlWYZpWKZlGqY9/tgwP/7o429961umad52223ziotBjmlyUXd6FANv
+UMugbEW4dCq8QKqTythFLGJoxrPq1lsxu9BafAmjoaelmTFmmqYd7hR33xm3m+1oaNnWIfKXRLmW
+SFm7yW1QmMI2bNywcePGl15+aeD555decgljzDAMxhkA4OgJy7RMSAmIVN1NEIQMZaSJPCVDXbdh
+JJIJwzASyWQymfzggw++8Y1vGIbR0NCwZMkSu/wszVglZUMdUtKk8mwP7765Z/ddUokpTyjNoFLY
+uOlod4EiWWQDOFvsYqMdFEXqkU7R5wqkrEnS1iiKnLJIrQzHljNFSn2XlJb09fW9++67dXV1xcXF
+suGqx2km8x68lWIAYyfP5XWblPZHDxuVq1KygjFPGp85eRg5Nc0URVECgcCGDRsWLFjw4YcfxmKx
+kydPdnV1jY2NVVVVkfEyQRAzR3d390MPPYSjyIuKijZv3vy5z31u8eLF2PmMItlOQmuYh/bLNt2q
+quJN22zM59M1XXUapFVV5YzbLoyMcazZYW5e1+NcDdLOspE0hMdYIpFIJBKRSOQf/9//Ky8ru//+
++/1+P3imJyjS+6unCMgTDeX3YDm9nFpPBNL4K09+242PinL+aCgiCfqKSxvccoCzgzvGR5B2eNMM
+ydxvASnISl+VRbsFVsAfOHv27MDzA4FAYNs123y6T7xqLr38DMdPEAQBADRHmsg35LGNblE3pqPx
+P8PAlPTPf/7z9957r/LSS9esWQPS/rpnX1wOunKA9gRj71c9OWdHnOPX3MdIDxPOLs6IKO8/z+PF
+g13jFufAsGlN3Ck/rXyU7ktIy6uL+9PzEqDAyhUrr7zyypGRkePHj+NqzD6xmPw33XMvfi/yQBTR
+vId34uxTnNTiTJR2MjCaJhx27IJHv89nVz76/ALpNt5vV0I6ZZIbN278whe+cPXVV+PBdHR07Nix
+o7u7O9d/rQRBzEL6+/vlmfaXX375Qw89tGPHDtHwjG9P4v0q4/uYU9TtzrsS74f2W6Suc87t7LS4
+oaqueURalZNISsvTK+Lx+LG+Y6Zh3HjjjYFAAERQk9SoHBzTH+D5Qemjp1Iqq6WtalmuigCXktM+
+bzRMrQITulquJkuJhpAWpGXJnRrv5KEYIky7DwWFMbZ169bi4uL+p5/+zQe/SRpJcVYN0zBM0wJv
+QPRERoIg5jhU2k3kI3LQwtI1S7SFmYZhGPFE/OmnnwaA66+7jnMup3Y928aeG/LD8GeN56Qi8sz2
+w5xCO+9TgZOUlsVtxg1rZ9YlSCNMLLDQj9SyLLQelXqPLUVRAB8BCgAwYJ6pV/LtjOraTlDLmwuW
+ouv69u3bX3jhhR/96Ee1tbWMM8MwFKZg+RwzmewTnnH3XZEq0kU7t620QVEUxcCqPyf1AQCGYTj7
+DQyXj3JRt23lzV1n70Q8gbcTiQQq9h07doTD4f7+/ldeeWV4eLipqam3t/cP/uAPqqqqcv0HSxDE
+bCAajba2topNuuXLl19//fWVlZUcJ1SpXNM0FS26dU1TsWBb11RVVHGLAm8AsI28NRW/heNWI1cZ
+Y1y13+sg1dArZb8UADJFw5RJkKbx7rvvvvSLl0pLS9etW4cl5RnDGZI5zKXGypS0c9qTiLCYMRqm
+xJpJRENFUexxz5aFcyhsYzAc8aiAYimmZdqB2LJrvxWmiJpwO1AqYBmW50fbkVMB22kMpCowSzEV
+0wJrySVLrrjiip/+9KeRn0XKysoMbnDO7VUHM03DRL0NEwZEgiDmLFTaTeQX7qYvyFOvDHTqTiaT
+SSOZTCTfeOONf/zHf9Q0rb6+fsGCBe5+s2OX5RHV3oGWknh2XTqdLWqxLvDUvClp0zhlC+6UAjDw
+1rOBY+UlMszua5Zqz1Bgu4sbVM1WimwWvtwpONamog1MVrmuZ6mzdlEU5YUXXohGo1u3bl20aJGo
+3FMUhXFpwvM4Gf6My6yUO1PL5WUTHfk34ik15J5iQud4wFnbFRcXr127dvny5e+///7o6OjJkye7
+u7up0psgiIuno6PjS1/60vHjxwGgqKho+/btO3fuXLRokd32rKlYnu1kmu16Gb/P5/iL+WRrbjvt
+LKFKcMxBY3UPU1Su4shoVNeed1pPNMTS7kQygdHwmWee6e3tXb9+fU1Njd/vT+9sEoXTKYFPLl2G
+1HvGg7mmZRNEQ9mfy47IHr+u1H5sT4CD1G1ioYTxM2HNLWJl+jAL19bbaW6C1KYnF8vW2D/96U8T
+8cStt96qaZptLMqkMDROQCQIgqCMNJFHpFRMWU5LmGHXG5uGiVOvksnkiy+++JsPP7x2+/bFixen
+NFbJhdyQOdVs614lRfqCGNiUKgtBRP1MGQN3KSB1OIvHpLw2J97b5jGKfY+djgZLURTTssc4YzmZ
+oigGGCBNzhTHg3eapumOxxSWY47Ktg/DUFwtzdwly/Llyy+77LLjx4//8pe/vPzyy1WumszEJzQN
+0z5IK2VFMt7SQZEsWHAvX1EUxUzJgICTnVbcDnNm+405OWfOeDKZtO9M8gRLYGqaJzhnPJ6Io6Lm
+nF9++eUVFRVPPfXUL37xi3PnznV0dHR3dx84cKChoSHXf8IEQRQe/f39X//61wcHB/HTq666qrq6
+et68eUL04oBo4ShmO4fZBdpezayqKkezMdVpe9FUTETbrmKcYeW26JfBnyvGXMGE0dDORScN0zDP
+nj0biUTi8fj69evnz5/viYYiNoFjr5Xxq3I0FB3a7ggoqZiaKcy2IvF4a0vRUNRGuX4fqRvH4hXZ
+gQZftAkYBO2R0QqIWi3MTttzpBXmiWVusl2KhhhSReixY6VpbzOLSI1UXlq5YsWKN9544/XXX7+q
+6iqe5IqicINb3F54XFBAJAhiTkE90kTeYUmYlmladgGb6VR3f/rpp6+88oplmuvXry8uLvakeN3g
+Kt+jZCgwYwpzO7hS26tkAxXhTZrRdASfxDOMOsOgLM90SiVD1lp6qH1hyg4uKasV53XJT+K+MAU8
+CxrPpj4oUFRUtPHKjYZhvPjii+fOncNTK5IeptwWltYP5j0cp3HaFsnO0BC7XToVe/SL7mRtnEyO
+3Rrt8/kD2GIoeg3tbsOAP+DMkbF7FGtqah555JGrrroKAKLRaFNT06233orOQARBEJMBxwHs2rUL
+VfSaNWseeOCBO++8s7S0VH63Ee8/8luT3+/3B/zivSslUy29xXly0SjNnanR9hsm9rekv7VmjIai
+tDuZTH7yySfPPfecz+er2lQl9ojdYKe44cC+w2PELUJeahxJby0WoVDxhADmfmQKk58nYzQUjxHR
+0I6haQ8G6fDsVyG2YoXHJ0hfhZTgKJ8BORoq0vY33rNwwcINGzZ89NFHv/rVr7BLWlpuGOcNiARB
+zGUoI03kHe7UK3t8NJqrWGIBEf0o+uqrrwYCgYqKCiU16tpPIYSx8L5OzU6Ln2V/o/RIcQwi6ovW
+aIDUTXrPDebu/Wf0ERW11gBgmZZ8DHZ7mMlAAdM0ceAHdk2bYHoit+f4PbcVpyDc3nrHnXtpxAg+
+QGHKurXrdF3/+QsvnDt3rqSkxN62NxRLBcu0LGalz8GaGHHMFrMUxe64Vpx+acWwHbzF/Z7UdCKR
+YJyzOON2ohrvYZzzRDLB4nY/YTwe55wnWIIxtmTJkp07d15xxRX/+Z//+d577w0ODu7atauhoeHA
+gQPBYDDXf8gEQeQ12A6NjmKBQOCmm24Kh8PYZoyJaOyI1nWdc26noJ1EtDTUStdUVSSiRRYaALjK
+NVXzJqIds2uPFVbGI8wYDeV9z/fee+/kyZMrVqxYuWKlqxLBDXwgCUk3WqW2YXsiWvrxKI5FiKxs
+5UkZ3oAoRcP0l2b7fjiNSOkBTiSiTWnAFeaihZQVMVaEPPGpiFn4JZGFVpgiR17x4KKiojVr1vQ9
+2ffGG2+cO3dO0zTM+aum6hzHVAIiQRBzARLSRB7hCai4enDNSZ0d4pEzIydOnFiwYMHyFcs9z6Ck
+pqY9ywUAaes6TXXb/ydv2MurkLRNers227OAGGfp4CW1iUv8UDGnSqQjsCpbPEaehOl54XLRmnh8
+ypRO2XMFYPny5cXFxW+++eaHH364ePFiXJYpTDENw2LMNE1PnWGGpZV0Qxix2BIa0xGWgkM4AcAA
+Q2HMdAS2bSrGGGMsif/HGYsznCztiukk54xjdXcymQQA9CHjjCe4/TCs9H7mmWeef/750dHR7u7u
+3t7effv27d+/P6t/vgRBFAj9/f1NTU3Dw8P46TXXXHPddddhLTdXOQDYY56dEm63eNsR0jgFWgyI
+9hTg4JunsBZjnDOpbMdNz7KUqkD5U9HLIz4V0dB1GjOMX/7yl5ZlbdiwAfW/jPcdO3WYVrqK9qKk
+btSCN9K529CpUyHSI2b6E4uoJCw/RB24HOAwfimKbS1mf+N4fdGWW1dm90+lvtjxoqGiKGVlZcWB
+4hMnTnz88cfz5s1jjBmmaRgGhqbJBESCIOYmJKSJfEGeLWFvwINdzGZa9sIBlfSrr706Ojp6+eWX
+z5s3L72Cyy3xSo2jbuI6bbRVelrbLtUWrWIZ/cAlgzHRNgbjq2ghOAHAYvbOutsRLVdeMwUltJ25
+BWb3UUuvS3b/FqsEEBam8q6E3b0tTRYBUCzF5/NVVVX19fX94he/WLt2LWakmcnwhOMPlffgz7t0
+EBoeU9NYi8gVbq8IFWCmZSjjpqaZwTjjiURC7pHmCS67fAMAZnWSySRP8ARPJHkS5fRNN920ZcuW
+H/7wh4ODg2i9+9hjjx08eLC6ujrXf9oEQeQLaPgvekDKyspuueWWlStXil5o1W5v1oTntkhBq6oq
+SrVlgc2db0VfbsxCA8B4iWjRCjQek4iGtpaORCIAsHHjRiHzRL9SiqxVMijn9Loq+wHM2zSE6Vz5
+qRRnlJR8jxxAYcINZXf7FSzbCdOtnHZCmNMLbW/RMjvMKaCYiul5KrsvGqRB09Y4+hkz2Ir7qWVZ
+ZWVlxfOKX3311dOnTy9dutRebziNTlMLiARBzAVISBP5hRxP7ZnGpl3XbTh78C/94iUAWL9+fYr5
+p9QfJfc8i2f2VK+5D0tDegbJBsYz6sOzthi/4lp+aRm+5MzhkO9jwAzLALkyTbFnX6V4iUFKejr9
+MDzPAM74DwCwFEthyhVXXNHX14cV0VguyCzmnnbm/irOu2KQHyAej8ds18Nbigmm/XvJmJpOMoMZ
+osva9h7DrySTqKUBgCd5kifRn0xVVbfSm/NSxnbu3Ll58+Yf/vCHJ0+eHB4e3rVrV11d3YEDB8rL
+y3Py90wQRJ4QjUYPHTrU0dGBn86fP/+WW27ZsGED51zVNM6ZKOQGAJx3JWeehX62XcccjW3biTmJ
+aJGFBgBMRDOHDLuxE26/jhsNTXsM5JkzZ4aHh+fNm7dy5Uq5VCpjNPRMwPL2Hing0cOeiCnnmV0X
+D+epxAzIlDA6vpYW0VCxA4FTk2VarleZaaW0KUkC2D5+M3XjWMS41LIs9yU6mW05GuKnpaWlZWVl
+zz777MmTJ9euWysy0qqpTi0gEgQxRyAhTeQLmeu6Bc4n8Xj8xRdfZIxddvll4sHyosCTjpaDepqR
+dpqxtvsUzmLCqe5Ofyp5Sz59VeQJtJkdShR7SKYwMnWTugozLRONS9MzzCnlaan3yIsJ97sUN18t
+vpEprKKiwu/3v/766598+klwfhAdSk3unu8LLWYTDxBl3qKlDbPTdiIjLTWNyQ/Oud0yLQ2XtvPP
+aoqQtuu+k0nGWTKRVLmaSCY4Z0bSWL169UMPPRSJRPr7+2OxWG9vb39/PzZOT/9fLUEQhUBvb29r
+a6uo5d6+fbtcyy0KuVEbA5Z2y0Jayj8L/Syaohlj6b7cAJAxET0ZDXaeaGja3t1vv/32mTNnysrK
+5s+fLx48XjSUC63TjyFFe0OKVadtM5YeUlOCoaThU1+jp3zd8+rssiyp7dkE093zdeqnMK5ZioWd
+0vanYNdt2eHGSiv8FvXeMG40VJz5W1deeeWzzz77y1/+cseOHSY3sRLAswCh6m6CIDyQkCbyiJQN
++LS6brRXOXPmzCeffDJ//vzSktL07K7sUCrvu3uXL4r7n7wCcFPZYJuOjrfL7t3+9/SGZVotYYWz
+vIYQdWgMGACYiinHZvs5LcUAQywXwNbWKSFcuLC43yh+gKj6VhwVLa0zioqKFi5cePbs2dOnTpfM
+K5Fdu0Ux2xT24D1y2j5IJzs9QWraMAyFKUlF4ZwznH3FOOc8kUyiCRkAqEk1zuJCSPMET7AEVzne
+EJXe27ZtW79+/U9+8pPjx49Ho9GOjo7e3t66urp9+/aRDxlBzB26u7u7u7vFaKuysrI777xz0aJF
+bi03t+Ux3tA0V0hjLbedftZ1zUlEq6om62fc9ZPboe2M9ISJ6InfUSeIhnaJlmGcOnVqbGxs8eLF
+RUVFGWqdpCiV4aenWn6kBzX3ecRYCk+wE9+dNkhS/kEZhbSoXXfjkeM5gpHCGwpBqt6yUmOZFPFB
+AexOck+Dooi0s32IcjSUWLlyJQCcPHkSbbuxkWxaAiJBELMYEtJEXiBEoAUpawewwPbrtmyrsd/8
+5jeGYaxYsQKXO5Dao5X+zGlLAvwW5g7FEF+SUtEgrTbc3rNUWe4KdWdANEBKRE99hZnMSy1LURRs
+TnY3y0FJuR8suVBN9lxRpLHV9hclczJbcqctF/Dx+I2BQGDx4sXvvP32qVOnVpetFrkOPO2W65Fq
+yQc8mV9oupYW2enxUtOmYjgVkLai5iI1zRhnDM3GEsmEKPYWmepEMoHL2WQyKRqn5/P5tbW1W7Zs
++fd//3es9MaJ0w0NDY888ggVexPELCYajfb29h46dEhkoYPB4Gc+85nKykq5HVrucxaZZ5CEtMhF
+i3vsb+d2RbfdmZLWDg3OVqx3stT5ktLnjYa4p2yYxocffhiLxUKhkM/nA2nveKIfITllgB0O0kY/
+yBo7Nb9t7wvIk6eYq6hBCo4gCeC0Q3BaoEFRQEHd66plkT2WoiF4svSKooC9p+zWZzl9TLZeBunL
+40RD+3kUxbKsxYsXM8befvvteDyuaZpp2MPGVFO9+IBIEMRshYQ0kUdghHJawpw8tGmiHRfKvN/8
+5jfxeHzJkiUopOXA7zqIeMJ5qmD2LDHEI23BrKS0RovHiMRC+pMznvIlyBhY7ZxyqouMZeI0DrFz
+j0EaJbTnAOyFhaIoiiIsT6SnB0vqDQMp3e2eJVxJSGuJQCCwYOGCN95449SpU3IBG7qVWqZpYdGd
+NcUVg2cBpKQ2TgtPb1Mx8SXh61IUhfGUlml0GkM3XeHgzVWeTCRtW++kmkwk8GGqqiYSiaSaxG9Z
+smTJww8//MYbb2D/G2anOzo6Ghoa9u3bR3KaIGYZ2Ast5loBQDAY3L59+9atWznnqqZi/zPmn+3b
+jkp2hbSmyrXc9oNTTcXEbAFXSDMmggV4tlwBYBIqWnDeaBgfi586dcqyrAULFsiW3Z5omLLn6yn8
+tkNYCiIajqfJ0/PX4gHiu2QfsgxI0dCyLM657akm2YyJaCjukQWzvWesKAwY9kalREMn8ywXbwuB
+rThWZHiWwVHZgUAgFAqdPXv2zJkzgUAA95QtmM6ASBDE7IOENJF3YBmb2Pq1FafDb37zm2QyuWjR
+IpGRFpx/saJkeDCMt3Ev76Yrme6UkuF4p9xQLf8IOcOgiDlVoIg0teXM6nDHU4nyOstV0Z79dHl3
+QHYlHW8+lrghjsfn84VCodHR0dOnT3scYoXUx7LzKSP/IjyN066ntwKKohgKMNNpKDSY14SMc55M
+AIAo9uYJnuRJ1NVqMpnABLXTOK0mVc540kgyxgzDXLt2bWVl5bvvvvvzn/8cizyx4LOhoaGuro6c
+vQliFjA8PPzYY4/JErqsrGzz5s04HYqrqnAUEyloN/OsuTOuACAlAa2pKupv2VSM2z4OmIJOb4eG
+1EiUkqSdNONFQ8uyYrHYqVOndF1fuHBh+jd66qe8X011CJFl/3gHmRLs0u7xbiWnRUNI21eVfcJE
+bZe9/+vJHyu2Wbcw2c5weEyxjJQBkPiN3mjoPINc1YVf0XV96dKlb7/99qlTp5YtWybqs6Y3IBIE
+McsgIU3kBXKeFiOfaaVIaCxmSyQSWNq9YMECXdflDe9xN7/lgje5Wi21PVp+Fhm7Ki91NeA2jGXK
+e6dv/+NuuuXMzBC5AuGbYo/WEC6lzh45U5gw9Matd0uxN9GFxrZvy2lnxVKcXfsJTohlWaqmLlyw
+EAA+/PDDsdiYT/fZWtq0bWI5uPWFUPiVbEuXLr3tttu2bdt2/PjxF198ERw5XV1dvX//fpLTBFGg
+DA8PYxZa3LN69ept27atWbMGTQoLiPNGQ+TcuXMffPCBrusLFy2Ugw5k9BLLVEuVHg0BUk03RY23
+O+gx1YNDbpMex3LMHlsllXOnREMHpjCTmWCBYimmaWKUlE3IUqqrMMksRUPFUjy5a+cxKZXhKZvO
+qSdK07SlS5cODQ3hGkOsOmZxQCQI4uIhIU3kC/LqQYRXu6jNsk1KE4nE6Ogo5zzFWyW17szztJk3
+173fI1K742QMlLQbnicRx8JSjcvEI53PxhO3IvU9Xv4ZIEUcexcEkLkd2pJUN6TewpvoW3vu3LlE
+IiFKu92kwXQsGjxJaXFP5hpvhh8Z1rdjiscwDGYkObONcLnKWZypTvKZS7lo4UCW5HbtNxp6J52H
+GYaxZMmSO++8s7q6+qc//elLL700Ojra39/f399fXl6+b9++hoaGKbxGgiByQn9/f0dHh5gLDQAb
+N2685pprFixYwB3SrbmxQtuTi8Yv6ZoOAFi/rema40dmN0WL6VaiKRqz0IrU/pOe2p18RTdynmgI
+lmVZiWTi7NmzjLGiQJH7nRP+kIxBc/xoqFzoYXt+llDsnicXUxw94ckV256sMmSuxhIF3uNG1dRv
+SXtYSpTlnM+bN88wjE8//dR0NpRtd7dpDYgEQcwmSEgTeYSwnhZe3SkN0paZSCTGxsbQSVV8V2oL
+dMqnMunLhQyrCrkWTsmQyvY8uZKK7CvjOQbX6pMpiqXI3V9ijIfizMm0bzhHaZe6eSRxiiJOqVKz
+6+JSz6x4fVbqqsXn83HOY7FYIpmwTzNYsns3cC7bq1wMcl13xhpvRVEU0z7JomWaOXNYDWYAGuEa
+qVOmeTKRTKQIaZUnE/9/e+/+ZFd1nYuOOedau3e3Ht16tF60uiWEhCSEWgKBeRiDhCnbxD5wfJ2k
+Kic3uVX+Pbcqf8Ep/wXXOXV+yrFTJDknfoTYYAyJjWMgwRgDRkJCEjJYLYyEJCTRenfvvdac94cx
+51hjrrX27m6hR0san6hm79VrP7t7j/GN8Y1vZO2sjawbeXXbtE1i8iwHADzz0Ucfve+++3bu3Ll7
+9+7x8fGxsbG//uu//pu/+Zu/+qu/euKJJ8TcWyCYzXjmmWeeeuopotC9vb133HHH6OholUIbYyIK
+nbJ/jELTOQDgF0TzoWgGHQNZNNQtuLpkLtotGuY2t3mWZRMTE1prdBrzD0cRStWEQtoXxfVT0KEo
+zJ8/j4Clg/xxVexQTtbl5ZfWIRqWBqFppYVzrlhUERzISCAO1ToyY9e1JWYoVaWVUk4ZYxo9DdTM
+I43mY9JXIiAKBIIbAEKkBdceVN/F2BQV45nNmLOu3W63222tdXVA2kN1vVp7XHXm3p0TIK6Ii+5p
+KtAqDj/8DI6vj65/oApzhipb7jAaTa+u0pr2aDQaWuvJyUmb+/I7pg687g4AXIz3GcvwdHOi02B9
+CcRnRVppq3Obo5s3JmTYAQIk0mFqGlfOZBmuls64Axn9Q0PvLMuSLGm325nJAAAZNdLpBx988L77
+7nv77bfffPNNotPf+ta30I1M6LRAMNvw1FNPcTvu3t7eTZs23Xfffc1ms0qh/Xar4LadJnX/GJFG
+U8PaoeiqO7cCZYyhoehoxUN8YZqYTjTE6mOWZRcuXMAVXNO88/oIVYmGxbVpvAquCedu3nzgqMvz
+KQmtyR6sY0CkXVah/FyEtmm9/rhkwB5Ea93T6LHWTkxM0KbuqxAQBQLBdQ0h0oLZBbbww+cNdBml
+3diR5jX4K/pk+LbMojEdhr6qOUfoS6BInDNGsDT9BcUgV+GqEjh50anG/IlC/ZWpgCORvjhxsd1u
+F0kbSx2uRPWdv0AIduWo9PYOrszN22mdW0tO5iWxd25yr+42WeFAlpnMZEmetE3bZEmeZe2snWRJ
+kiR+h1bbr8iyuUW997Zt27Zu3bpv3749e/aMjY2hufdTTz2Fq6fF3FsguOZAO+5nnnmGKPSCBQvu
+vvvuDRs2zJkzBwttSH210cWCaEahTZKgNXfRl2amYv4cvJ8OWm6ldcmduyTnhs/QhS6hUzREipdn
++eTkZLPZbPY0r9Ab7jvY7ILi6y2KFnWxXdqB01CsyCqp3EPh2EfDPM9VvGmCF5dpu5W/zMPQFYiG
+SqlGo4FE2gUXcXrHr2hAFAgE1y+ESAtmHRwOIoHD1VAurIlyzmVZ1mq1ZlSDv0RUNoXwq2wfdVGM
+h0gmV1Z3h6nnuNyO3NyFf7UKNHoq05sEmyl6enqUUpMTk3me8wFpnzrAFUwaqq1p1HKX3LxxIxYq
+vSGYvdF+rCzPtda5yfngtGmbPMnb7bbRBmk07ceibDvLssxkWR7R6U2bNm3YsOHgwYN79uzZv3//
++Pg4mXv/5V/+5ejo6BV6KwQCQRdU7bhXrFixcePGrVu38hY0ABCFLsNPQnt2zRdf0Sx0aGT7j4jy
+gisSclfcuUuN6MvYouwSDfM8n5yc7Ovr66jP+sxgnpmq/lulaAiR9hu/DeTvDQobyRQNPW1GfZZW
+VZ12vTD7ykRDFMk75yYnfTSk9xquSkAUCATXI4RIC2YRmJ7Nl5w9r3PBZ8VaJF2YvswUvIJOR9iV
+aWmzOzz1DqNlId5frqiPHexiAKxuw+eMHgubKmhS6kWDzhVtc79s87I9//LL6dya5iZkWgeld+hI
+F/ux8iz3DWpttMnzvN1uG2PyPMeUOsuytmknWZbhfuksA4CMw2RZnpHYO8/z2267bfXq1Q8++ODr
+r7++Z88eEHNvgeAaoWrHvWrVqm3btqEdd0nFDQA1FJrvsUq9eLuRNvCGyKhL/WcA4AuusHJntKHR
+3yvaiEZ0iYZ4GW2lixbxzFGa5Y68Qj7z6+BNbIJ1NrIZC6deOvg4dNhbAVA2657+XQFAVFMOuYd/
+SVc4IAoEgusOQqQFswVsGKw44pi424FTWhljUON9yQ/UiS1fOouGKZZRX96g28k95dIw2Zq01vb0
+9GA2xt9wPME5uLy1gE7vElQWTdMQmu9UKwsA1llu640mZHme60xbY9tZhhmw3yCd5ORD1m63kyTB
+35wsBtJvNPrOszzP8yzLBgcHv/KVr3zuc5/btWvXu+++S+beDz/88BNPPCHm3gLBFcXLL7+MdmJ0
+5M4779y8efOKFSsK/ox94zAIDUHaXWLR1HxGObcxhoy7UeytteaOYoBeDFP5cpdGiC8zi+4cDa3z
+2pxmTxM/uy7LrFPk1/2ZmXRZz+U/2es7zJcc0Dq2rN2MoySasKDA22vp4wzEn3blA6JAILiOIERa
+cD3BaJOmKaYOl3DzYp8zMOYGEYu7XE8VoyxWsi9vxI2Duit/a4bZQ6vVcs7hUm5+z1cZsQy+Xunt
+s1W09dbaKmWd82pLo/3gtNa5MSZJDHqM5X5qOssz7E5jlpxVgE1spNN5npskycOurB07dnzuc5/7
+zW9+s3v37omJCaTTaO4tdFoguOyobrS68847SxutTOKF10ihSdrdsR0dmDNeMMiguRN3EHJrJNJK
+aWN0cDpUzJcbrqSWezqgImOaptbaVqt1yfcT+XG4eOXyZ39RTG+EH+AhxFy2KFOKhtwJbKbREN9J
+pTXfCSIQCATdIURacO1RpoXM14OOI7TWaePSiXRVgF35fuDVU51Ze8/VsF3LSy+FrAZzsqkzgxne
+d2uyxTvS/Ek6GgqLf0ZXLmvspvQ2utiMopW2Llfgv9JCrDwzuSl8yBJrgmV3IeE2BgA8Z64AyXaW
+ZVmeZUbnubW5N/fesWPHww8/vHPnzjfeeOP06dNi7i0QXHaU7LibzSZS6Dlz5mCHOEkSZLt8EJq6
+0BATad+LDrugyXUsSVKjNcm50fwf719pZbQBALzAfblL7llwubXciOlEQ/wk1Fo3m82JiYlW+xKJ
+9LSf04yV3p6KOwUKnAOloi5u0WbHgBb00tN/Pl3iYDTGPJNoaJ2dnJzU1JGuS0KuckAUCASzH0Kk
+BbMCNZ1Vqii7QCAdaK3TJM3z/JJr8Jf49ICHZmed0+hQ6lw0WR2PTpUulwJzcTz0ycNI3KU0li8Z
+rVYrz/M0TbH3658KdHy2VyFp6Kj0BgcAZVtvrWzOvmqLHSRrbMZsvYkh4wAk6r2RTkekOs+SzJ+Z
+Jzg0nZnElMy933777QMHDnz44Ydk7v2Xf/mXf/EXfyHm3gLBJWB8fPyZZ57hFLq/v3/z5s1btmyJ
+KbS/gAS4IMaBJwMACblRv+19uXGFldGo6yYjbpqCxn/exTD0nK+mlptj6mgYusc9PT0XL15sty59
+0OkzPj2MFKikLkdDxvlLN+QvqHrPzhUlY375ir8u61qtFkm7i6h/rQOiQCCYzRAiLZgtoGAZ809/
+BEOZ1rrRaLTb7YmJiaJ73PVOP8uol5/RBaeoUe2l4M6pQJst4DYsGujtdFcUhosL1sUBm7326iDW
+peUSU9miXLx4Mc/zZrOptabmgKvNfq6iW2mt0tvFSSR+1U5bZf3gtNVW5Toen87ynLzHaAAySZK2
+aWODOg8nZFnWzjLaleVJtclym2eZN/fO85zo9KFDh15//fVDhw4hnf72t7/9xBNP4AS1NKgFgung
+mWeewVlosuPu7++/9957t2zZwmXX3os7SYw2RJ75RivsS0Mg0uTOTVZkSKG1H6n2Rtyl1dA0Cw2B
+SF9lCk3oHg3xK3akrbUXL168Uk+Dib2rqm8fDRVgiKSv/sYKFChnndLKdSgNQC27Lt6CmssUK8vn
+TPNt7RwN8zy/ePGi1rrR0wi+m+UWOlyjgCgQCGYthEgLZhFqhp24pArAGDN//nwHcPr06TzLdVpx
+Kw0SazWVhrs4jVY6UyM0VNmx50y5QvUe6OTiuAJg6U7p1UW7KENBvpQM8EI9n/iqea9c9Hyic8pP
+lrFx9i3r7Pj4eJZl8+fPT5KkXswW/2iuJkpKb0phq7PTNDjNl077jlOe57mn02gnBgB5Fshz4sen
+Uebg56izJM88pU7SJM/yzGRZkiHZJjq9bt26NWvWHD58eOfOne+88w4APPPMM88888y3vvUtYtRX
+/00TCGY/du3ahX8s1IIGgOHh4dHR0Q0bNlQpNHaRfStamzDnXFDoRqPha2TkImYM71d3odDYd45m
+oQGq49BwZbTcndAlGuLneZqmAwsGUBcT3TDEtY4xkH3LuaJM7G8MrlTHxBdO650h5pDUkVYQEWYi
+1d7yuvLqqtGwImtn4bW23AwsnHWJhh3f4uhaO2ufOnXKJKZ/fj97lrMoIAoEglkIIdKC2YUu8Qm/
+tWjxokaanjx5st1uo01rSWmG/+Nqa79vg7Frz5yLgWh+Ke4/89J7iOaR9pg03ggLnn7H+VboO8ea
+Nge1GRJXd5dfnYtfabhculo+p/ZWzk1OTJ46darRaCxYuKBLgnhtkwau7sYj1dlphSvRrMNlWVU6
+rfPM5kYbk+QJAJBBt5d8h/FplHnj6uksz/IEtd2ZSUyap3Rmnud5bgHA5vnIyMjQ0NADDzzw9ttv
+79+///Tp07R9emBg4IknnkBSfQ3fQIFglgDXQZf4c7PZvP3220dHR1esWMHk1oa8xEiYXQxFE42m
+tnOa4NQGTU2XKDQfhK5SaL4aurS0CZ/k1aTQhI4fvA4cuEZPY9HCRa1W6+TJk/wmPK5VtdM1jWWM
+hnFwBKBQBFyWVbD0umgIjHJba3HCvNPrKuuleduZH6zTZJXKzd1HpksXOt1Da7J19OjRNEkXLVoE
+00hFBAKBAIRIC2Y7KoNJixYtSpLk+PHjrVart7dXxRS4Jmo74I3l4kyosQejLMTH9DAFDRDduHg+
+qoj0lKBgquFiQ1QopTXcVtTV5A18cLqo1lcK7UXhgObn2J1AlzQMAAAmJiZOnTrVbDYXLljI3wSY
+fYlCJ7E3vi/F0mmi00qRrTfSaWss0mkAQEbN3bxxKLqdtf3VxHekszzLs7zdbuPSNexdZ1mW2xwA
+cHDa5nbJkiVf/OIXt2/ffuTIkf3797/33nucUa9atQoZ9ejo6LV+IwWCq42xsTHsP+/atYsONpvN
+devWrV69GlvQAFBMLGMzWYcFV8akaaq1TtOUU2gi1X6jVTAbI8rdiUJT/xkptILyLDSwD5xr4std
+jzgapmm6cOHCLMtOnjyJH3E1peEOdxMoNEAcDaNbOQdcua0cON9n9u8GybKcP8EHPoqW1n8DKvKi
+qChMGm9X3/UNHl9htKe2NV1SfUdHO0ZDTqdbrdbRo0fnzJnDB3O4IE4gEAiqECItuM6wcMHCxJjj
+x4+XjbvjLnSpeh2V4QNV9po0Fvjptj4jcXX8PO4L0/1TUKfNlvV67Ng8hmcP3fTbVQeyeLbaP5nO
+2rOSHA6vTkxMnDx5Mk3T/oF+mPUoN/k7LZ32dFrnNkdSXaLTAMAb1Dg+nSR+VxbS6TRP21kbL2Pj
+Os99+zrPc2TXAIAT1B5ZnocG9fbt2w8ePLh///4DBw5MTEyMjY3hEDUyarElE9wMQAuxp556ivNn
+AFi3bt2qVau2bt3KhdYAwLTXbBCaTMX4tHNi0iQl8zDk1dpoAOCz0J0oNHfk7jQLDbOKQtdBK71g
+wYK00RgfH5+cnOzt7S2+58KQEbPLpiBV6LQZVXbOgQVnHPqGUbO6qCt3IKLWWRJ1V0+aTjSE2Iyz
+PhpWDETK0ZAiade2du09I/BtHBkZuSxLuQUCwU0CIdKC2Y1KcjMwMIAd6YmJCYhtM4vLYQSaz3SV
+K/ThHC66BgVVXTdQ3Vr5cS9M++hOrLMaNLBkpTb3quYNvpNcUXeXms9RAsGfLR3rDC4RryY0Fy5c
+OHbs2IIFCxYMLOBvOczW3LF4huwFdqTTSjntCjodxN4AwBvU5EZmcoOrp5MsyfMc7buTPMnafjo6
+8zQ6K4h0nqGht3f5zjJrLQ5Rr1279tZbb/3yl7+8b9++Q4cO/e53v7t48SIx6tHRUexRC6MW3GBA
+/owWYvw48uf169f39fXxEWitNTaTkT9TS9nQgDPn0mm09cqw8/BjuZ5Cs/6z0QY/MbrbicEspND0
+PEPpd2DBQLOn58SJExcvXoyIdMUnrKNiy58dytAutH+d13tzpXgxxKQUgPP+FK4wEyHXDw16mtHQ
+68CdLR8vYmRHpTc9T35yR9LfPRo6OHzkMACsWLGi+pbP8oAoEAiuIYRIC2YXuuQuGNIaPY1lK1a8
+u2fPkSNHhoaGgLHLmsUbRKd5Yd05EmwDFPmBl2SrEF9jLzEHDuefoVpcd2CV9fkNyti0Lr8O50l4
+lBm4+kp8OY2AKEOgrKJcoefJxDQkcM6548ePnz17ds1tt82dO7f7O3+tfy+6PStqs5R8yJzzqV6g
+09paWxDp0KBGN7Lc5iYzeWKTQImL/nPiGXS73c5tTnpvAEDmXPDrJMcGNXqSWWvzPL/zzjvvuOOO
+PM937tx56NChAwcOAMCuXbt27dr1rW99Cz3JxOhbcAMA+fNTTz3FDy5btmzt2rV8kVXoD3sXMZyH
+BgBqOyO1JhW30Yb8t4vWdPhqkgSXQuP+57KdWGcKfc3txKbEFM9EwaKFi3p6ej766KPz588vXLgQ
+KGAVXBugNDhdWdBYLUb7aBga19x+LBBaC6U7JJdNcAqUBQvgoyFOnnM4B45HQxvxYYC6sBjdPCi9
+q61pPME6Sysx6vxHoHRvzgHAB+9/AABDQ0NVbcLMfi4CgeBmghBpwSxCrbNLqSSslb5j48Z39+zZ
+vXv3vffeC1DI2Gj4OfIdZfbahZ9KVdRNo9RhYAwjLlbZiWB7yzHnsOjOK+4UnpVSDvOMOlBlnfgx
+quPoW3wYLNJv8zZ1yaEUanhy6bSoAe4AAKyze/fudc7dsXEjJrJd/HVmbepQsiLzObH1SoFaOg0A
+1lqu98aONK6ezrXOrUUtN45G47B0br3AG8enkUi3s7bvSGMf23qBN5d8Y4PaWrtt27a77rrrwoUL
++/bt++ijj/bv3w8AL7/88ssvv/zXf/3XsjpLcJ2iusIKAFasWLFmzZr169cvXLiw2DAVRqAL/bYx
+BQcu7ME06rcL/+00IbNuPyyNBFxrvhQaADoKua8rCg1do2GgyWpwcHBwcHDv3r3Hjh8bGhoqIhrG
+CutAA/cDK+CionDFlKzGWMQfxycWGtz0wVtYkYUQTC+hSnV5tbc0KQ0QdaeJMBfEmduClKKhi8rH
+pceqjYYQAu7k5OS7775rjFmzZo0ii7TrMCAKBIKrDCHSgtkCYrnxlJo/opR3ZlZKYajbu3dvu91u
+NBql+yHCTI1KPw5dNlJhPBWNSRklxsuk2QZc5uEcGH9bcOC0Z2gQ2bc45ZSv5ZeeWJQ1xEV3G+/z
+cBD2WFaaz7EorubmEOUTvJpfeko2t3v27AGAtWvX0nsbxgLZz4VSh8+ykvsKo0qnnXMadC2dBoD6
+8enQoyYGbIzJbe63Sed5ND6d5QCAIvA8z3EBNZ6TZVmaptimLiaoc+usxUFrZNQPPfTQ/v37P/jg
+gyNHjoCszhJcb6hdYbVw4ULkz8uXL6f+szFGaW0YhY46z2G7uzcRM4aPQ9MgNB2kAehS85mI9HQo
+9DV35O6O7tEQT1BKpWm6du3ad99993e/+93WrVspWhVghJlax4XYOwTKYnOVglI09AES1Vi8Be3A
+6WLu2jqLgY+ihAKFlLiTtJtcOXkXGnvJ4bk7ZytbsmxN1bjLCeVoCOVoiM/46NGjn3766ZIlSxYs
+WBA86HzWAddhQBQIBFcNQqQFswKlWMum1nwvmmrwSqnFixcvWrToxCefHDt2bOXKldWRqBLtrLad
+6RF92V5BuYxNE2JB4UbUmre149cA4PxNSBnOnhP9ny24ipVr1Xhf0rnRPUS3LnmrVNvXdQ4rzrkT
+J04cPny4v79/xYoVVKkIP4wa6x2Y9WV4TqfpcpVO45nR+HSFTlOPOg/D0za3fHw6zwvX7izLEm9A
+lpUa1HiVM2pqUC9ZsmTRokUPPvjgqVOn9u/f/84774yPj8vqLMEsR+0Kq97e3k2bNnH+jILqUgva
+82c8xvrPuLkqakFH4m2DXmJJsCOLWtysBQ0A1zuFhulEw+KaWr9+PQC8s+udb/xf3wADFKrwtqR/
+LrhxCB4qZoI+jNL4UjwiZJShM61zmneb/dGalZMAbKyao1JPBgpzloUqVzyzUk2ZR7/aUFh6IN6+
+LkVDvN3BgwcvXLiAA/ylQfTrNyAKBIKrACHSgmsPxdxE8XqtpIowZ86c1bfe+u6ePYcOHbpl6BZk
+ShRfoznneJLWgdNK00ZoZx1V0JFOK+2L6Ly075iNCqULJcKmlAILAMHRRQFUkoeC4sYVdP5A1Gcu
+zULzb/FUw8XCPJ5wRPfpoj1bePX3v/99q9XavHlzs7fJ3+fosipSNzp+rX9fpv51Kn5wdXQavxXp
+vR0Uq6edNbnJbW5yg0POeZ4nNkFCbRPrx6dz7EhnKOcuxqTjBjVNUBdgjBpZ/dKlSxcvXvzAAw/g
+6qwDBw5wRi2rswSzAbUrrHp7e9euXTsyMrJ+/fryZHLMnwnYiMZLvP8MAHHP2X+v8B4L908j1vhP
+q2IpNAAoBdOk0DArP82mFQ11QaeHhobmzJlz6NCh06dPL168WHntVBQN/Ud+LMiiB/IybLbXCsMF
+rj9AxbZVVoN2ALqYhq6x3vBNadQEgeft9Y3bEA3pqVpneSE7CpElf81wMjWxoTLhhDfj9wk8wsbR
+sN1uj42NtdvtoaGh3t5eUFHKUbzt12dAFAgEVxRCpAWzBaqotRdHmOJYKfBXent7h1eu/O1bb733
+3nvbtm1rNpv8flzsVgrMMIxPOBfTX/EW6MLWix8MuUOp0k+PSLN2NFNdfYGlgrr3HqtbqlnTZ2Za
+7pr3rpJn8HsoPQFEnuV79uxpt9tr165t9jSZqLt4w8NPYfYmnZ3An2qVTgPEem+tHK6e1hrptNNW
+W+2MQzqNjWXvRhbGp7MsA4A8SUjOTUy52qCm2ekqnQYc2M6ttXZ4eHhoaGjHjh1HjhzZuXNn7eos
+YdSCq4mxsTH0DyutsLr99tvXr1+/YcMGQDV10RbWhaI7ptDIkEum3JG0u6DPhi7TILTR0b+wwspT
+aWxEAwBfanV9UWiOqaNhQG9v75rbbtu/b9++/fse+vxDne4QWW7tJiofK0x0xNNsPhQNfh80t+2g
+COtHjv1ocfQQ3aOhV47bepeQEpOmg2X7bibFImpN3/IarnhYmj/D8dPjBw4c6O3tveWWW4wxig2T
+3RgBUSAQXDkIkRbMIlB/WIVxLMqHsL2glUanmXXr1s2ZM+edd975yle+snz5croHFy/bwJiqQdOE
+NPqvKFc0LQs6rfxKTQDAkj/XrSmtqITNlXX++856v+7OheqISENdI9qyEyxLGopTgW5bbmgHdxnO
+zLto3o4dO7Zv37758+evW7cubaSFt23o5ABAEBICf6XXEWrpNP1Ai1nBYvV0oNPWaqdtHuh00Hj7
+r9ZmeYY9NKTKxRppalDjv8CuC0ZdyLstEemiRx0zamvtvn37Dh48WGLUAwMDDz/88Ojo6COPPCKk
+WnDZgeR5165dL7/8MtdvA8Dtt9++atWqDRs29PX1xWPJNfxZM1k3byxT59lvrdLGJAkAsDXSmrTc
+VRW3jwVGa1VQaN87DSGD/thLdmJw/fCfbtEQfDRUWvX29m4ZHd377ru/feu399xzT7OnSfuoeDT0
+08g2TEczB5Bif1W8aNpHQ2b9DazujLFVOaW0Vq6IEXydVac3vJOiCqBg1LWgd6bQZ0HBvYtI52pC
+Z6HkUmUufeTwkX379i1btuzWNbdSHCzlHv5NvJ4DokAguBIQIi2YdQjyqULAhhkD1Ye11sPDw4sX
+Lz506NBHH320bNmyKANwsXGoq3Semf+KYn4qyhU7M13FbAxPsGBL9XVKOMjFlJ5G6XUV2UNBiCsS
+bnYMQiGAtOjAGDJwRg3+OlS4t6u4fOMJH/7hw0OHDt16660rV67UvM+hQ2tak47t+s4YSnQaL2Bi
+5NXdFTrttLbOWmXR+YaPTxtjrLUmN8iBE5vk1ua0BCtJ8jy3qaWd03iczU7nNvfrppFRAwB+K+yf
+jhj15s2bN23alOf522+/PTY29t5770HY04vOZESqt2zZItPUgkvGrl27du7cWUueAWDp0qXr16/f
+unUrrrAi8Tb+HfGV0MUItKGrJmFEmqTdfmV0oN8A4K8midEFLS8eLVzGeOCJNH5kUQuaNZ+vXwrN
+UR8NQ7lTK50kyerVq+fPn//+Bx8cP3Z8eHgYKrKsMqsEv58iOID4qED82VUmqK21oMBvhw7DUz6s
+WEtmXCEMAt1Pp7c9ioYxreX132pr2lcE4jHr6ix0qX0dPWg8Y2WtffvttycnJ9evXz937lyllf+P
+ZR5wAwVEgUBweSFEWjC7EE0nAZdV+VQJA1tfX99dd931+9///tVfvXrXXXfxca/CDIzbd8dWKP4C
+C6v+HixYbRX4dcRUvHfO2dxiZKVJsFJfWoOO1NR1ZmNRrhDPQhfF9dJSzVDdr/EvLZfrK4kId/xm
+9LvVav3m9d+0Wq3R0dF58+b5nAw7OaAoN41epOCaYnR0dPPmzefPnz906NCxY8eOHTv2hz/8ARip
+xtMefvhhZNRCqgVTYteuXS+99BKSZ765CrFkyZLh4eGBgYGRkZGFCxcWfTnB1UKnaFi4SiultBpa
+ObR69eqdO3f+7ne/wzXIeGMPB6WGczgcuK6zwMh2yWasCHagCs02Sqc11EZDvrfCPygPIBVldbH9
+MayrgKqoKkQxXBBNN4lYd0y/6QQaqC5uQn1s586dP/fyyy8bY+6++26jDb6zqH0r3n8JiAKBoAOE
+SAuuPagrWHhksoWZPqaRji9cveOOO5555pm3f/v26dOnFy5cCMyCG1iWUCqKu9KmTXDGGe/ajYw6
+FNQhrN/kTt20Zbo4n/F2eqBqO7pUFKehsogDxy1lvk6zuBMXkXBvpkLupqzzUFvXx9POnjv7+uuv
+G2NGR0exzxN1pFnSULx11/lgGD15XlxAYkDvk/9xK+ucU0Hr7awrlk47a3NLbmQA4KenjaFdWdhW
+RjcyVHmneVoovbmPN5uRpm9hO7ramkYkSbJp06aNGzdiujk2Nnbo0KHjx48jqYawlfrb3/42CKkW
+1GFK8rxy5cply5aNjIz09vbqWsQqbqDVzUb7EWi29Yoa1KTlDrJtw4XctEe6NAgdvMS8oxipuD3b
+CRJcYI3oaIJjtvpyd8F0oiEVlDEu9vX2bdy48e23337rrbc+//nPY3ufqCNxWn4EsDKrI02WH4Ni
+lmNh2qkoSTtwfCUkQE00LDZL1SmzSvKo4gjbU1VcLRFpptsCKEfPKBrGcbNcbsYv1jlw7+1/7/Tp
+08PDw0uXLuXvLU88bryAKBAILheESAtmEWgDk9IhiGFVWIcBacqutF48uHjjxo179+59/fXXv/Sl
+LymtuJlKsbmKiJNimUQwXin2Z9I0tPWdZ7ystS6k4Gj0XWQ1zKc0KOFo+roTyuuvCiW335nJoz7E
+E9Sl6ntxP5WJ6Mi+2xUvHA++/uvXT58+vWnTpiVLl1TzZD+Ap7UqjHBvnBo8Z9SUVtJV55w2GsIm
+Ve10ydbbaWutxcFpAIhmp42nvaT0pq95mJsuuY5leQbIxmMfMiTP5EmGlz2lZ7jttttuvfVWJNUf
+fvghNqu7kOrR0dGHH354YGDgWv8QBFcV+JuAk8+dyPPSpUu7kWdcKEW+2UG5rY0GgJKpGJ+FJo+x
+MA8d9N7hKwm5gQh5ZRyaqDR35PYUWkVtW/66rjsKXcIU0ZA070ZrrTePbv6Xf/mXN9544/CRw7eu
+vpVPM3k+DGyhNITljnE0BAtgmLEIRjetiqUVAOC8aAvA96VromEwGZlWNIxHnGrcPaoLLMqt6MiB
+LHIvK4VCKPPzixcuvvrqq+12e+vWrb19vcVAgbew0zQ4cKMGRIFA8BkhRFowWxCV3plVKZuKCwmF
+0lrr3mbv1q1b9+/f/6vXfnXPPfcMDg5SadwzIl0U1wEKhRsEFRl3FPPJBASld+hLOxdtBEFpd/Gc
+cbmIdWQPO2V4LRKCUlmdGtHW8pM5zy/ay52L9/7k+Dt4n/j1008/RSXbAw880Gw2uc0Yvbf0plEp
+Hm640jvxZ4idvX3NJZRduK23Nho70jg4jW8pNqITm5C5NzaokyTJrd84TWu0yNbbs+ssg0pHujif
+HUFu7XvU1jmk1s7VkuqjR48iqT5y5MiFCxcgUCl84eRSJqT6Bgb+xHfu3Ek/d46RkZHBwcGVK1eO
+jIwUf/ucNitljKFPBM9sKy5ifEaad6S94Xa4UHBsv/iqpvMMAOTFzdk70mg/2jOVIzdcz+PQHFNE
+w6Jd6uvKCwYWbNu27ZVXXvnlv/9y+P8ZTlUKzEQDAGrE1XzEKURDlF+hG4jfK2md0oFpU4caPzZ1
+ZAiCfNu3rzGITS8a+k4y7aGsWw/JiTRwRg3RcboHKLW7w5ESBT948OCbb745MDCwfv36NEmJMOtS
+3nETBESBQHBpECItmBUgPRuVeoOhiuLpAkuxdJqmGzduHBoa2rd33759+xYtWoQFe757g7t2FQ/E
+DzIDTy9LU4Wbl4KQT7AnWX7qQdKGls9U7K++xlr9NnRNGkrbMsuC8JBJUPUdKrlC8ejhPt955533
+339/zZo169evR1Ncym4ppS5MfKAY1IMbMXXgr8h12Dvtgg+Zs47rvQHAOmfzXDvtrDPWlFrTNs/z
+BOlvRIl5UxoAiFRPyag9l3aWSDW1qfF+EENDQ7fcckuJVB8+fPjixYsAsGvXLlplJKT6RsJ0yPPw
+8PCqVau4Irq2+UzkWSvWJWb8mcgzAFT5c7kdzZh21HQOHz7UkQ7MuazinuZSK7ghPqOmjIa8F43o
+6em57777du7c+dZbb23fvv3WW28lkRRVikEV9ooAnhVjmCjk37TOAOIhZzQZYVYjpTGZAtzoexrR
+kJe2ISbMvBDAKTR0NhkhZu7C8gsfPalBTfcDLmtnr7766qlTpx566KGVK1fSr6RhlaNC3X1zBESB
+QDBTCJEWzDooUFppq6yfCqsmEAELFy7ctm3bwYMH/+3f/m3btm19fX0ARRuZZwOco3KhGs1UY4ah
+w5YL6mkTQ9ZaUwxWMYrMg+/VrDMbi55JRcXNe9HWWjrBHw/2pEiQ+A2p9O4qKL23586d+8W//3ur
+1brnnnsWL15M2RhXSxZvO06L3QQatlo6DRBtyYIwPo16byLSaPFNhBYb1M663ObOJoz9uqIrneY2
+tyj0BrZDizNqWprFGTU+RHEhCL8DkfYdaucc9aurpPr48eMnTpz49NNPISbVq1atQgPwhx9+eNWq
+Vdf6ZyKYGuPj47inCseeS9/t7e1dvHjx0qVLOXlWzFkQALh8mhTEZMHNCW+JP5NOGwA4f+Yj0Hz9
+FXLjQrPtt0AX/WdgRLpYasVV3DecI/d00CkaKhW17rXWIyMjd9xxx+uvv/6b3/xm5fDKRtrw40s0
+8BzvkabppuIqWwaJ4Q+/S6VkAMAZaT4aQx+YUTREjbcLE9QllLrEwWUTAKpxrTbY+VhnqxGPlZXr
+vMr44x47duzFF1/s6el58MEHG41GbY7hTd1usoAoEAimDyHSglkEpdjEW8je8jynwTBMHfwaUmO0
+1qNbRn/2s5/t3bv3vffe27JlCwZvR8YoUAyG4UPwg+QiFkl8sUivHdXvlVbgwLpo3svnGbTwA1vZ
+ADQkVk0eEN5CjPHqIvYXHDto3pAz08qrkoQ73sNZ4dBhWsz7gDvn3Pvvv//Orl3z58+/++67+dJX
+LuqmpFmFRPYmWZtZotNQcSPD8WkX9N4AQLuyyJPMWWusQSpL67KQ9NrccCZc7JEO10uMOmpf0yFk
+6fxCngdW7+/cOUek2lp6XnblypW33HILXh4fH6eZ6tOnTwPA2NgY7T1atWrVyMjIli1b+vv7t2zZ
+MjIyItT6mmN8fHzXrl3oMIc/rCp57unpGR4eXrJkycjIyLJlywqNScyidZj2xD9x/gmAnwpIcfkF
+/FAoN5mDtJv4s2Ht6KjxbHSJ+EUcPtDjTi3o2kHoG5xCd4mG1K7HNzkxxpje3t5t27b99re//eUv
+f/noo48ODg6WjDM5T6avKhBqqhFDiIzYiKZmNWq2y8spqkDGqhw40Ep3P5dHQ5ptdhVllo+SrmDI
+ZWVWJSyWJeIQN7od2Ny+/PLL58+f37p16/Lly4uhAxNNFuAv4c0ZEAUCwXQgRFowK8DnrIrSNiq1
+0eQmC0o2EyVn/f39X/jCF37yk588++yzq1avWjCwAADAWSBlGtspDVWbUFaSh+AuVupmQ9iW6WgQ
+OtyVY6I4FXRf1MAswblyb5xfJfiBsaBPq1bliw52ELBViu9FAd4yC5az584+/S9Pt9vtRx99dMGC
+BWz5a8hrgy86vu3Mq/TGkU1OCcrOS/0W/67Gi2Scr7owTzLLtk87G1rTLs9zlyABtomlcepg/V2o
+uD1h5kZlXs6dlwenSfiNWWNB0W1OfWlrrVf/BzqNGBwcXLRoEd5qfHx8bGysSqpLCuHR0dGBgQFh
+11cBJc6MnedOJyN5RrcwJM+ApJTT5kBZlQIisXgaVSfxb78q3jbUolbFbmdy5wYA4s9cAY7/VBDK
+hqFr34jmM6jK8+jISCxqctapuG/gz6IpoyEyaqNJf+wrFxs2bFi9evW+fftefPHFP/6TP8ZJ6cBQ
+gQoW5dDjCp8wtBkrOsnRPkcFADjrhN+gaEil3tLPyCrb6SdVUw6ubLrmReTAhYN1BRt6KkVJCqAl
+dh2db90HH3zwy1/+sq+v7/777282m3wXOmUa+At/kwdEgUDQHUKkBdcTTAnaNNLG5s2bf/vb3+7Z
+s+etN9/avn27MaYoiodBaFrL4djAmLdFcWCdxdozPZDvQGJD0nr3KeW8zBtKa64UAA1bh5t3egmh
+NA5Q0ZwXCUHQreG3SvZjEPzDo0eJd18B0DC2TzjyPH/916/v27tveHh469atbIYxKdpH2mhZFRvQ
+Se9d+kmVPMmoQe2cy6111hpnfGvaWcOb0olDabcnyWTWzf4VXJr4M79gvVwcezX85oTceo0371QT
+o8YLg4ODixcvxl+z8fHxjz/+GFdVT0xMHD9+nN4E7H92Z9d49Vr/6K4zoKX2dDgzor+/v7+/f8mS
+JQMDA0uXLl2+fLliQuiSfjsITRQtuiupqYtecWAO2hhdNKJ1+QKy6NgkrLgftqqKVzxpOgefktFh
+w1DoPwPKmG/iFvT0oZSiJWSJSTKT4c+m0Wjs2LFjbGzs5z//+b333rvmttsw7AFghdeCM3gZoODJ
+PBo666yyPgowe84CDpx2FE/986EdGcWE9EyiYTifqLjnyXU7LKo7rsqBr6IPLy6Eh5qYmPjFL35x
+9OjRbdu2rV69WhvmpBd+16/1D1kgEFwfECItmEUoTR77dRMka9Mm05mPd4lJkgQF3suXL//CF77w
+gx/84F/+5V82bty4bNkylOB69Zd2YMFqixV3NBr1DWfrMPwrpXwy4Rw2CTG989LuMC5L+7R4nwQA
+wIFSUPSGo5fUUeNdE+brBsP4ZT/+zXxTSRPO8wxao4XNUTz+8ccfP/vss9baHTt2LFu2jOcMpbYV
+ve2q9AO5KVHVe1ODGkKZhjzJeIPaWosu3w6LHrbYm2WdTWxCs81Eqv2e6sC0c5unecq13FXhN5Fn
+YGu0ivtx0Rpqf4RRaCT8dBUAlixZsnjx4k2bNtHx8fHx8fHxo0ePIq+eDrtG6zLUh69atUrYNQEX
+UO3atQtVAHihy/nEmZVSIyMjzWZz2bJlEEzCSsy5OKhjIXfJYiLQWgCI+syMUXPxNmfRfqo5du0u
+7jPmz8qrjyMJN5JA4syltvON7cU9I3SLhkwez73QtdG333775z73uf/4j/947rnnvvnNb86bNw+o
+xe1CcRlF2lZjuQJjis2tU04HKxClVG5zFbqwxRwT+LBY/FC0QtkXDxT10bAzCsV1JaJBHA1tHp1Q
+3JxFSTpi2RQ1icadc+++++6//uu/zp0795Ht2+fMmWO0iRa2GaOV/x2XgCgQCLpDiLRgtkDFntha
+axRac5KXmMQmVjM7TZyXHh0dffPNN997770XX3zxz/7sz9AlhevTcLuVn/uKq+zUZrTWggJvrMJm
+yYrmc4kVK17sd6rOg4QcyKpV+dKGTGDd5qozGd2GZp4hLMms7r7iGQmElOIXv/jF+++/v/GOO7Zu
+3ZqmqR8yR9OgeDCMk+rSD+ha/45cM9TqvYvjThU5XNygRpfvQvLtACXf4IA6yUSqkVFjfxrJMym6
+o8nqUu+aZqSZtLsYn45JddSdZlPUReYaU2vnHPar16xZw1PS06dPI7uenJw8duwYAND+aqjwasTN
+xq5nypkXLVo0d+7cwcFBAEDOvHTp0hLbVLHbNmfO9F3ukkRd6KInzJgzAJDdV2QqVmHUOlZuk+TV
+aLwTXcufkRVrY5QCknB3GoEGRh3pPbkJ+TO98G7R0GhttUlMbnM/2Zv58nJfX9+DDz64c+fOV199
+9f7777/nnnuMMXxM2jEXMf4o2E/2fWn8BFNF29kFqXkRGSn8VTrWUHHqjgRcFURmY3FYLJZEgnNV
+5kzuIeEgvx8Xh0c658yZMz/60Y9ardaOHTuGbrmFLFciq/kkIcc7CYgCgaALhEgLZhfinLH4D7M5
+qzW2orMsSwKyLOub0/fFx7740Ucfvfjii6NbRu/cdGcR9pjxMsZUbTXObmGqhzFWgVNOae2nv3gv
+Os9zn7CCsmBpp4hi09F0ucg1AKBu+qt0pFx3Z3kMVHrRRNJ88hAShcLHm/ZqQnEra+2+ffv+7V//
+rbe39/HHvzJ37lwqvicmCS5BPj+L3napvldQeitKPWoq0yju8g26kHw7IO23Z9QAwaisaFPj9qzi
+SizYjgh2uACBSHPyjJc5qcbHQqbtmLk3PgEI/nbFbxTj1Y4tJK+ya+pdHzt2bHJy8vjx42fOnOHU
+sRO7pstbtmzp9C0IGvJr+KMvPf+dO3fiPDkCVdl4eUrO3Gw2BwcH+/v7m83m8PAw9plVHfjAM9Fm
+wDZg3I7m2mlsAhNJJmbrfRDCRisodaRjnlxcCOQt3vDsr+DTo0epXqjyZ1Vx4a4S5puWQnN0jIba
+WG2xj5qZLDGJ/y9NsiwbGhp65JFHnn322e9///u3rb1t4YKFSileGsaJaIc67iDJ0kbjIadAMzdN
+BAXEIiJ4m01V0mrRMwf24+tIoUP3u9qCLk6Awp0bSPXNj4doyHlzYSAS96tb7dZLL7307rvvrl69
++p577kEK7Yk0sWltDM32awmIAoGgG4RIC2YLFFNNo8CsRt0dNgxhzCMunZhk9arV99577yuvvPLP
+P/zn5cuWLx5czPvJAEVxG6M+sFVYYTtkEdf9LZzDTJDCMCfM2PHmuzohTIt1eZnlsjpEZXggI1Om
+0/ZXQ9KArwV4C7qyWpP7snzyySff+973zpw9s2PHjtWrVvu56MRnD2GNDdN5MxkbZfBw0ye1HDxf
+5D1q+r1yrvglcY5Jvl00RF0i0uULgd8G9mstI8alVVgAkFfF4aUj1OguPQrfjM1tyQoncuLY4OLt
+a+xbbsmSJYODg2vWrME3B0/ArvWhQ4ecc8ePHz99+jTnn5ydlpjqt7/97S4/Auxs01XsdfMTqjy8
+5HFdYsLYN+ZXq57Yl4Cenp6lS5ciZ166dCkyZ56OF9y4QpuJK6NDGD+ZmDPvQhOD5ZcLPTbTdVNH
+unSwaErr4rbYVTaVh8CrAFDPnxUbgS5xsA76bRD+zN6HLtHQaYc/Hp3nviSaJCYxSSgv33vvvbt3
+7/7ggw+e+8lz3/jGN/r6+orIBZ5J0zVFaya8tAqAvLudX1oBFWoNPNjRj8tN0XzmKLrNfPcEOxg3
+lAsuXZSXwx2FunE4wE+IV14deO/A008/rZR66KGHBgcHaUAMs4jYgKVG1y0BUSAQlCBEWjCLUK9n
+I/6stTXa2GJEOssyaq329vZ+/qHPv//++7t27Xruuef+23/7bz09PaQHK0rvWmELVymFByk5cPHw
+M1FWCxYA8At9F09QKmjGi9fALwZCHgVzfjH6V3BmnjrEri08RSiV6kN7Oko7Wq3Wc889t3PnzpGR
+kYceeqi3tzfI2BKWMZT30oiMbfpQdapv1UHyjSiGqMG72VHRxHeGSfjtIGoal6l1TrJtCGZjnF0X
+DW0m+S5a3GFFFjWrgYg0ONKBF19d+Yj/1auQamCdpeHhYecc9a4hbHC9ePHioUOH8D1EcTjhww8/
+nPJt58u6atGdh18uLFmypNls0lUkzACwbNmyZrM5MjLCPzFqL5fIM9CX0vCzKi7T+TRszNvORLHp
+z7qq39asmVxjCRY+Ekq0mdrdyITxAsREmtrOVVF6dwsxkA+ZGN2iobP4E8qNH27Ksgy703h1YGDg
+scce+973vvfCCy+sWrXqwQcf9DGLoqENDt4qhEi265FEWMHuu1hATf7eUIqGODk1VTTsFAohlmVF
+1WE6AkU05KGQzovmqzF6s6KfdXZ8fPwf//EfT5w4cd999226c1O1HU0TTzp0pJFRS0AUCASdIERa
+MOsQleG1Uha8TNGYPM8121OaMFhrFy9a/F/+y3/5+7//++eff3716tUPPfRQkiTFEqwgRiu8RlGY
+5qwCVUoO/Ly08muEMVEAiMxCo8Y13ZbnCYqVzGOUZGyupGfjY12ufvV09YZFvhHuIs/z11577dln
+n+3t7X3yySeXLl1Kb1ea+KY0H4wMubIXjvLqu2BK8DeK1BAqlnzzIWr8DQcAItUFo3agg+sP2n3z
+ZnWe5xaFjRaduy1vJpeIN/Wfy65jpYPOoosP/25B46mFbmMuDUX+yqh18KWPst1iLzoArFy50jm3
+du3a2hZT6QL2tOkIjmfzd56bn8H0eDihxITnz5/Pr2Ibmf9ksZ8Mld5piR5DrFsmJXN0JuPMZUYN
+MYuOPa4hjKVELJc5Y0eXKycQc6aH4wS70GwrpcPOqnLPGZ+wMSoQ6Sp/pjsn/gyVFrR09qZEbTQ0
+2mBTurCaDu6bqO5O0/SOO+549NFHf/SjH33nO99ZuXLl6tWrKfYpp7wdmAIiqGiXiJNQvH3t685a
++f6zK3h1ORoGlRbwLncAbprsFgoVlKw9AKJwRheqrWnex/YfVVBEQzzlwvkL//zP/7xnz55Vq1Y9
+9thjvc3eohftG/qRA6fXdfu/RgmIAoGgHkKkBbMOkapRec2htTbXuUmMcy5JkjzP+aR0mqQ2t0mS
+rFq16qGHHnrxxReffvrp4eHh1atX62CsgizaaqtB02YsygAwOUBLUk+hHVhVTEq7POQKtFKLcW9H
+xi28Bu86dqSjHjJbzAG1PNlWkgbGW+hW5EPmwjT173//+x/+8IcOYMeOHbfeemuShlwrTYlRm8SU
+GtJeycZbSYJpo0uDmjNq7PwQvfS0mTNqmqlmZmB8lJqzZbof65zls9DVbjbnz7FKnHTmfvs0a0rT
+yXSV1BD8ufHfT94gstHAfzGVUMqJgf3OI/Aq9rT5QaiQ7Vrgt3CPFx1EQXiX3+pSg7R0ZpUQ1vLn
+4ghjy3h+qatMVLogqDripZxFEwHm7WjOdctX6xg1MWcAIOW2jvdmFQS+RJ5pGXXd2irhz5cdtdHQ
+OafDqJNn0VmSJVmaphgT8zxP0/See+55//33d+/e/fTTT3/zm99csGABj4YaNMqscJMF/pHy38Dc
+5uHXN1iNYIh0FiwU0TC4i2Fhmhy8ASB2HPPf6hgNbTTZxJvSwD4ZbMnWu1Kuw1eHn51FO9raN954
+4+c///ncOXMeffTRBQsWYDRM09QY4wNirO+mOoUERIFA0AVCpAWzCJGYjfSNRuOeZ220scYZp9Fl
+BavvuefSSK2bzeb9999//Pjxt9566zvf+c5f/b9/tXTpUqzE+3Fop2hG2gZjUgjRmtZEl8rn1HMu
+Oo1sPMy54AdeakvWDUvztnPxfZoKq201x8LgqvKtoPe09Mu5o0eP/t3f/d3Y2Nh99933wAMP9PT0
+JCYhv26+QZqX4X2CrhTlSfSjuda/HdcZpsmoAYBINWfU1Jr2a59DC7poFIPjbWpgPmH+oANOqksN
+bUdT086RQzjQwHasIQ/z0uE51HFp7+7DqgOuCqg7VhZosPl/AOjMsTtdKGF4eHj6P6/aC3S12oUO
+VDEih6oKUPzmvAvtOWcs3uYs2jeHS0S6MqtMTtpKkceYLrWUC2LMmsn1tFkrBYr3vYHsljiRZq9a
++PPlRZdoqAPJQyKdmCRP8iRLcpMjOUR/hHnz5n3py186ffr0q6++unDhwj/90z+dO3dusAUpnDLx
+EWywE/N/aBaU4votBqK6+JnGJ5jChq2ITgdU7yuKhgDk7tFJ3Q3xpwEv2xUHmK2a33Nh7b59+/72
+b//2woULX/va19avX5+macItVmjOPI6H6DEmAVEgEHSBEGnB7AJ1d72HNrILJNHaWu3zBmtsnuRp
+nmZJlqd5kifW2izPrbNz587dvmP74cOHd+/e/f3vff/P//zPFy5aiMOoPksAp6124LCdguEZ56Uh
+2I75sK09KSX+w4m34j6l3NGMv5zOg2FVCgF1am2ana4hJBBsum2ZoHz66af/9L1/2rlz5/Lly7/4
+xS/O759P1fckjRIIsuzGDNoYU/TBQEnu+9nRnVFDEDg41qZG8Na04aPUTPjtiF0D1BHs0Lt2ztLK
+K9ZnLl0AAG7oXR7SDk1ptj3LU2tOpwuNJUm+/QhjuVPNu0x8yw7nzF1YdIk5R9em4XWEP5u6i9FP
+rXSwSpiLqxCZUUf0Mvhs89OIeXIKzWmzYv7b1BZGkzCaUsbvFlUwxoqpLubv33hCQITZP4cKZy54
+Pm2uCs3nkvl28fKFP18BdIqGTjsdlmERCcR2dJqmWZ4hl7bW3rLilscee+wf//Eff/KTnyxcuPCr
+X/1qmqalR/G9aB0MxqBwD+HemeTSTaslig8xpRTVkfF01qz2r6UaCqFmXgkq0bCk2ioTaV6e458z
+bIjm97///f/6X/9rfHx8y5Ytd999d6PRwIJy9asPiMEowJNpCYgCgaAzhEgLZiOoNK6C5YmyGjvS
+EAxyECjqzpPcWpu0jUvSLMmWL1v+5JNP/vjHP/73f//3JEn+/P/+8/75/cW9Oy9mi5RmlpFh2rRp
+HQZRDNMFZ47cT4uniof4q+Cxn4OO8PnnaIVmGBiL1mjWdfNorowOjo+P/9P3/umlX760fPnyP/7j
+P166bGka2HMk6q7AF+CZjbBkDJcRtYyajtPPPcodVcGoQYMXfjtwmFW7gsfiPaDskzrJRKodo7v4
+P+dcbnP85alta7tY1F097mgc0UZT09Z6Js1V38D31sRJMIQaAcTsGlE6EpWZoEytq5en+UOpuQwR
+Yebn8L8LfpXYpqr0pMlwAeJdVuD7u4r3hHl3ujwpzQhw7bdCBxuMNtTyrp5ZcH4dd8LpWbHmMz85
+cgtT5XdJ+POVQDUaWmu10Tpn6m6MhmlqraVBJ2ttmqYbNmz42te+9tOf/vT73/9+s9l89NFHG41G
+zaM4YsWAnWjLdkA6V0w2cSCpxsJV7bejM5VyUG1LR1Vj0nbxjY8Qa7m7RcOKUPzw4cP/83/+zwMH
+Dtx5551f+tKX5s2bF4XClI04saa0NlobLC1rCYgCgaALhEgLZhd42A5tEaWsMlo7bZxxDhymCPg1
+z/Pc5kmWWGvzRu6cw4r76ltX/9Ef/dEPfvCDF154YWBg4Otf/3pvby89hIutvMvcWKlC56Y8DfB0
+monDSSDnYmcp3rUuvTpHo9R1Gm9iUACAzk/V/R/RVdashpBqTExMPPvss8//9Pm5c+c++eSTt956
+a5qmJjFYhk/TNE1SotOxcXcowLNxMJDs4QqgxKihs/C7+N3QTPjtatrUAMB04NZXYdjlaMiZCcVp
+DJtqOrxHXT7f34SRZ/ZYfp11kIgTi6ZnSM3pKp0OnLqGORffY4rNWuZc+ovrwqirrebqcWIOJYJd
++tOg+hp+lFSBbBmg2PlUdH0DMTbkDMwb1IFUFwJv5lvGO89FMznorvn5vKfN7x+fdngYXd98Di+Q
+6ESp+Qx1xQj5xLgs6BgNjQEAa/xfVmITKm/hdHSe541Gw1qbpEmP67nrrrva7fZPf/rTf/iHf5gz
+Z87nH/o8SrQgVI1VUHT7vrQtRpy4EydUGbUrNkEC+4urRkOo+/NUbIM0gm9/jIpr1YJyHaOGWBZ+
+7Nix7373u/v371+9evWXv/zlRYsWEXluNBroroKkGsNivPzKGOxCS0AUCASdIURaMEvB89CoKe1M
+rnNu3G2tzdMc83hrbZZlzrk0SW+77bbHH3/8ueee+/GPf9xoNL7yla/MnTs3eggXuY8Wiz2Un3zW
+WvsUQRVeLDRiTdkDodooKyM2UKFjRSO6MhcNUG44E3Wv2rGcO3fuhRde+PGPf9xsNp988sl169al
+jTRN0tQnDGHbR2IiSxVjYvYc5Q2CKwfO3+iHyN95/ltRFX6jtsLSGi0HLvR+u5NquhMSfjtyLGO3
+pZa1c5X+NmuGV+elIfSfy1ZkTHsZDVF7/zwHHezoy38afAYyoBOdnv6PgB0tjhBpKKXRMYv2VJn/
+7RRd3PA3xYXfZc6sItdr3hbmPWROgKnnzAiwLm7CnwYnz+xkAFC00pk1n1V1VVVn5XbpzbnWf1I3
+JkrREIJDIdlw0tQ0smhsTSdpkuapzW1fX9+99957+vTpV1555e/+7u96enruuusurDireMkWxkTk
+z/gJg+EP4SpeIQB+w3kVlxgNK3/yxd87lpjjHXsR2Q69aPycOX78+FNPPfXGm28ODw8//vjjixcv
+ThupSYznzIlJG55FY0DkUvmoHS0BUSAQdIYQacGsA4V2SgQdOGxKgzNgIEkSF09momdSlmdIp5VS
+2BzbtGlTlmXPP//8P/zDP5w7d+7rX/96f3+h8S4yb5Jwh5iN2SQ6eJMfadV+zN8DmpWWhsQ6oHhQ
+zuFrrcWIMJfMVEKuUEpEzpw584Mf/ODZZ5/t6en52te+dufmOxuNRiNtpGmKFxqNRk9PD7WmuWu3
+l3YzNWk9wRBcMZTa1PxPADq1qcmfLAikwZhC/s1INRdac+oLlbVVNTw5umyr1Lq4HB6InlsNc657
+XE6nSeYNpfw4TqzZEX+l9H5OSadrfrH9jyD6buEn1sGXu5OcuySHJr5B1JpzZk5iK4ya02Cl+Uot
+TrbrHrfCqBVnzvhiS8pt6Nx8Lr1j8hFxFVAfDZ3CIQ4AQGm3/3OrmP/RTru+vr6HH3k4y7LXXnvt
+b/7mb/7iL/5ix44dNC9dCnn4G0LWm/4cZtjJj/MSM1Huwmxs+tGQz0sD+1dl1HRa6aMjnGmdPfzR
+4b/927994403lixZ8tWvfnXl8MpGo5EmaU+jxwfEEBNJqIUBMdDphNrREhAFAkEXCJEWzEaQKsxn
+D0o7Tw3IYiWMhyXG5CZNUmttmkWzYc65Zm9z8+bNeZ7/7Gc/e/bZZ1ut1je+8Y1FixYBAHkmk4eK
+17MpAKb6xoVYPg1lAjYVll2h+wqv2U/zNUYFe1fup1kbKdxc1LeuYRenTp364T//8PmfPp8kyeOP
+P75ly5aeRk91GKzw69ZM1R3e0njHh7SYrg1KjBo6+ZOxNjXCKosJsf/1YKQ68FnLuW7UNK71tIu5
+tKvcCVHrUtsZaEaxC3kujSrQr3Q4GToRaZ5JV8ekS2ZGdX+P5d/qDvptOrkbkQ7kM/rDqci8ianS
+nVdpNt2W0+bIHzvQZmCD1uwxGGMPXKh0J5yxl16dYr3uKZXbIJ8MVxE10VA5xWbakySxzu/BMnnw
+HsvTPMvTNLXOYul53tx5jzzyiHPuV7/61VNPPZXn+fbt25vNJv7CFBPRDqy2yiky48TPGV9NrtNh
+KcU3VOOT9t+a5mss/padn9Muu3YXriIOOom6w6fQwYMHv/vd7+7atWvZsmVf/epXh4eHG2mDCsd+
+5VVSzDehwVgh69ZaAfjAGA84yK+9QCAoQYi0YDaipgzvnHPOGANKGUzHk5C726j67piftnMO+uCu
+u+7q6+t74YUXnnvuuRMnTnzzm99cunSpUsqqMPzMl11ZAIUeyq4YJAvN4eCtEkRugYW7eBhsSpRo
+c7Uwz7MKmoBlOUXoHzoLDj7++OPvfOc7v/71rxcsWPDVr371jjvu6O3ra6Qp9p+j6nuov5NJqTZa
++9Sh8P6VdHk2gP8UCuZc509WnBanmPi7Xdhlx9PLvIfME9l69huaz4FOR5ms9UKJYrd5+bF4PSg+
+gs8BoCYzBi7kdjV/NaVMvTxKPf13GMq91noOqSK9d4nJhluWu9NcJl3cqjSHjD7e0a3iulZtZ5sx
+Z3Y5fg7sgSBm/sUrVR1rB/yNkk+DawJV15SG3BZLoZ1LXOL/1rxtYHBEQBmL9fW1BQsWPPbYY319
+fa+88sp3v/vdkydPPvHEE/Pnz0d67MCHPD/0FBgrKKXJjFOVa1XAPpfgkn5BKLYW+qxYqOWXUwTt
+ScSb+SeJdVmWvXfgvW//f98+fPjwbbfd9uUvf3nFihU++vU0Gmmj2dNEZRb2pdNGyiVa3i4k8buj
+RZ8lEAimhBBpwSwFL8PjWDLRadqe6mekncWdPVh3x908OCmdpF4Evn79emPMT37yk1//+tftdvtP
+//RP165dmyQJYO9XgbYaiBdjwwdU7nLsAICDXOUQWw15VHxWuiUTnQ1LIZbv0lIu5wJ1ZyzFMZHt
+gQMHvve9773xxhsDAwNP/tcn161d19PsSYxBtRpXcWNBntRr1NrXqsjZ6RWCJA2zCSX+DJVpaoh5
+NbFWCJ1qAKCx6hKJ5WQYb1zy2a633a4y7XBv9GRKD1HUgFxNPgyxNQBUmlGcddMJUKlGffZ3OPrN
+J44MEc8szlRlXg2coIZGcBeyzY908scutlWFZ8N7zsBIeN39F8+Qt50hLtYIeZ61KEVDbEproyEH
++hi3xuY2T5Ikz3OXOJv7pfHOuSzNnPPxsa+v7/777+/p6XnhhReefvrpCxcuPPnkk4ODgxjyrLOg
+AJxSAC539FtrwYHz5RiScJN5XvUJ8wJfR7iIllf/lssehOAwGpYKczRCkrWz//zP//ze97935MiR
+tWvXfulLX1q2fJlXYxnjL5RiopdnlQIiM+uWgCgQCLrC/Pf//t+v9XMQCGqguAmKCyuguYpMKZJn
+h9v4k0tHsC0z0D8wNDR06tSp/fv3v/766/39/UuXLi2WarpwJrcnBeXDdijPTyuUus7/Vc+tZg+c
+bwDzKQ23Jz+n8+fPv/TSS//jf/yPsbGxW9esefKJJ9betrbZbPY0erAX3exppg3fly5q8OErSyQS
+zfZ8ULLeyUVGcH1hmgzTVW5SnkauqQPN4P5nOWYJkS5uXmLCpUFldgRU/OhdiTR7MUKkrxuUoiG/
+4P8qg4VHEUpUJHAKdwQKVJImSwaXLFq06KOPPtq9e/fevXuXr1i+cOFCbby0IfSh/S8PsF9+ikpT
+R0M31X8QfeiUymG8VEcUuuyvSasBnP3kk09++MMf/u///b/PnDmzdevWL33pS0uWLGn2NHt6imjY
+SBuNnkajpxEFxCRFpVaSJl4ebwyORpNviAREgUDQCerGyIEENySoGUXLe/I8t85mWZZneZZlWZ61
+W+121m632u12e3Jyst1uT0xMtNvtixMX2632xMRElmV4pNVqtVqtTz755JX/eGX37j1pkuzYsePr
+X//6kiVLVAxgAkjwPR8AZp1SLlGrIs+YVhkeyvlNTUcagBZEQ+zsjTh27NiPf/zjn/3sZ1meb92y
+5ZFHHhkcHOxpNtMk6Wn2kLVYT08PXi0R6VCnT/hgGLcFribWgtmGktS59K1SSlpdLlXuCUPw7oq6
+x+V+Mu9RR7rx+Ej5ILsfekrkE1Y5XnCG2kpT6SWX2cLMpd0AUCIMUGGSZabNSHFMnr1jWfU4J+TF
+ctqKxVf5SIV18/vvyMNrF3TVGafVviHytz/bUI2G1trc5ja3mY+IebvdxkiHFyZbk5OTkxgHW+3W
+xMUiDk62Wq3JyYMHD/7iF784ePDgggUL/uRP/mT79u1z587lxZf6QgyLhjXdWv53NI1oSB5m9b6b
+MWcuDsaGDvv27fs//+f/7Nq1q7e397777rvnnnvmzZvnLcXSFGNfs7eZJmmz2Wz0NHoaPV7XnbKA
+yLZaYGu6WBonAVEgEHSAEGnBrAbP4W0A7o4mOo1JQ5Zlk5OYHky22q3JiUmk1v5Iq0U5xPnz5/fs
+2fPSSy+dP39+3bp1f/Znf7Z+/fre3l6eNHjyzKryPDPoNGQ4o9cFMZOBko83TxdQwBb29168ePG9
+9977+7//+w8++GDu3Lnbt2/fvHnzvHnzCjNSrLg3eprNZpImvc3eJEmazWaxPDP1az+00eSzomLv
+X0karjt04tXTItWVRLZEpMEPFMS3ZPdGQonaTBfqikFQUWuX/iKKE8K3Sy+n/MI/84x0lVgyhkDn
+1H0CFDeomaDmnw+1BTtV8fritJk8xuqJdOfG+JTDzyDk+fpBbTT0ATH3oTDP81a7lbWzVgCGPyLS
+/mqrhSXmkydP/vrXv37rrbcA4HOf+9yf/OmfrFi+otFoVAsxNQ1qVkYuSR6m/7tEzXMqugEroxXR
+MK6pUTQcHx9/7bXXnn766WPHjy0ZXPLlL395eHi42WxS4RhjYZqmvc1eJM/NnmYa2HVp9KnQZwVI
+QBQIBN0hRFowq8ETa1q6Q/ui8zzH1KHd9n1pTqGpO431eOxaU7X+4MGDr/zHK2MHxxo9PdsfeeTL
+X/nKyPBwkiQ8XaAZMAqilOwCm1vDb5Wkkl1ekb8A5cYa35OJKGZTAcBBlmdjY2PP//T5l19+udVq
+rVq9esf27atvXY39Z2NMs9lMG37DB0naMGOgvIF60d5bJU4apPp+Y6ALqS59t5ZCF9/t3LKmm3ty
+TUS6wsxj2lxzHOJcufbJACfVnYj0pYazjmSSL8SKm9X8b6QLVSZ1N1SJd6lrzfZRcdrM76aWEl9y
+2xmEPF9XKP09ehZtc5tbDIhZlrXxX7udZRmyaIyAWZZdvHiRl5vxhHa7ffHixd27d7/00kunTp1a
+tGjR448//sj2RwYHB3FROUCluBPAoyFUfO9h2tGQ178wqvKPiNJrp2h4ceLiu3vefeaZZ377298m
+STI6OvrAAw/gsug08dqrnp4eZM7GmN7e3jRNvVyrp+G3WqT+Hw+I3ribibolIAoEgk4QIi2Y7eCS
+Nu/SHWrwlD0gJluTWInnRLrV9lI2lLpRqb7dbo+Pj7/11ltvvvnmxMTE0NDQjh07Hnvssfnz5xeO
+Pp1EkrGSrdyLnrnZGL9cZi/htZ85c+bnL/78Fy/+4qOPPmo2m/fff//WrVsXLVrkTUcbaZqkPc0e
+2pPJLbtJ1J02Utz2QauwakvvkjTcYKjKoTsd59QaOjuBRQcr7Bpi0lujvIgT5YhmQ/3kZIlR0yV+
+2mdESZ4K03Hz5lPPHagyxIS22lWGCmcuP1aXjt80mHOX44LrC3FlOUebv9yHw5wmnpBLYxz0w01Z
+e2JiAsPfZGuyNdlCUo1fJycnjxw58tprr+3bt885t2HDhj/6oz/atm1bs9mMbN6rOojuoRBmEA2r
+vehyFS98aGRZduzYsR/96Ee/+tWvzp4929/f/4UvfOH222+fO3eu12mniY+DPQ1aVtFsFo1oXlYO
+4TAhfRarKktZWSAQTAEh0oLZjtoyPAm88yzPrc1Q0tZqEZ2ebE22W55OT7Ymw1iYb0dzHD58+I03
+3njvvffa7TYuzNi8efPSpUvJOBeg8Mit7VxdQpJaYhRQ6aqhQzIAWGuPHTu2e/fun/70px988EGj
+0dh4xx33bNu24pYVPT09tM8KNduYK4R1V17D5iv0PQ2+ObPEojFjkLzhZkD3/m19yxqgtmtd2oJe
+7jDHBJvujUu1uz8cRCd26LF3kXN3CW7VhL/zKix+OfrT4C1ndjJRZYjZcnFOV85cf5w9HFQ+iESw
+fZOgNhp6Lu1Doi1UWkGBxYvLyKL5cW8z0mpNTk4eOHDgzbfeGjt40Dn3wAMP7NixY93t6wYGBmrr
+RDW/e2q6vejSi4JSOa9EpMNfeavV+uijj15//fXnn3/+1KlT8+bN27Jly9atWxcsWGCSpKfRSHy4
+S2jjI9aUeXD0MRGJtOkYEMuadoFAIKiDEGnBdYA4e8CmNDakw3hYHuals3ar5SfEvIytzWakJ1sl
+OxaUwF24cOHAgQO//vWvjx8/ro1ef/v6+++//8EHH1y0aBHajUTz0ogOOfQlvCgoTUezLvSJEyd+
+9atfvfrqqwcOHLDWLlu27IEHHli7du2cOXPSGMicSdQddaH9GWlikjRNfcZgyKjblBTdkjTcVJhS
+F13uBle4bk3D2X+jvMgKIt5bzDzzJ1Aw8PhJQF3CXfvMHcwgqHWhzaUjgc1ySlzcQ/W0qFFXScer
+nLl8TuUTBrrm9MKcbxJ0rCyHf3mWt7M2eo+1Wq08z6nzTEYhRK1J4N1qt/Isb7Vap0+ffuedd37z
+m9+cPXt2zpw5d26+c/sj20dHR+fMmeN//UrRsOJpD5dEpKFz2Q4A8jw/dOjQL1/65ZtvvPnhhx9q
+rW+//fa77757ZGSErMLSRiMNPiAkyOJd6MIlpFGw6GJ9tDY6bL4SFi0QCKYJIdKC6wOxwDvqS6PA
+G7+2s6zdamFygF8paaCBMQ7uVXbu3Ll9+/bt3bv346NHbZ739/c//vjjW7ZsWbZs2bx589COCy1G
+p5naTv9FFVfBOevOnDnz8ccf79y58/nnnz99+rQxZtmyZZs3b964cSOn0JgWIEn27qM9PWmS4AAY
+tam9tVgjpSXSNAmmlMLkoWOHQXDzoTpuUHtCLQGelirb1T9KkUZHj8X/NwWLnhGF5qiadUffjbdh
+QcSm/c2rfzsldTc/Xq8Pn16rufqU5A/2ZkMk8PYSb4uT0hgTqbLcareyLGu32qjVomqyJ9JsGIrG
+qvHrp59+unPnzn379n366acmSYaHVz7+lcfXrVs3uGRwTt+c2oF8+GzRkJuD0B91u93+9NNP//CH
+P/znf/7na6+9du7cub6+vpUrV24e3bx69eqeRg+OOFOMo+noRk+jkGv1+O40UWjvFVIyCiEerWQ0
+WiAQTBdCpAXXB6qTw9Z3pm3u29Lex7tNMu88o0kwtBrjHWmi0GhUlrX9BpGzZ88ePHhwz549Y2Nj
+eZ7Pnzd/052bNmzcsHXL1luGbmmkDahuzXEzaAd1YikOXJZlHx76cNeuXXv37t29e/fZs2eTJBkZ
+GdmyZcuqVavmzZ8XjFFC3kC96KBVw8QCWbSXuAUK7T26k1Rr5fvRWiutjNYAUn0XdMNMqXX5eGeO
+DZ2YcOfh5y5P5rIQaZgGTeW9OKjj4bWqV35y6W670GbhzIISYg2IC+uwXE7WY3nO3UOwLx3JucNX
+6lRTWbn4mrVPnTz1/vvvv/XWWydPngSAxYsXb926dePGjXfeeeeSJUtIx8Sf24x+XaNinILic8K5
+Cxcv/P6D37/99tt79+7dv39/q9Xq6enZsGHDhg0bhoeHsb1sjMEwh0or6kUXxWW0DuG96DRN09Qk
+Jk1SY4xJEoyFfne00lorCYgCgWD6ECItuD5QGpK01mLzttSXJh9vL1QLi0BKim5v4o0JQ7td+J2G
+lVoXLlz4+OOPd73zzsdHjpw7d86Bmzd33sjIyNatWzds2LB48eK+OX24VsqH/5muvwIHDvI8n5iY
+OH/+/CeffLJv376dO3eOjY2dPXtWaT13zpyhoaHR0dEVK1b09fV5CZo3GE0oIaBeNC/Gc5B0rRB1
+s+o78O210o4WzARTasL5d8uMt7KwqjoUPeXl8gNdKotGdPn77UQVVKUxXbqr6d5whucIbnKU6lCE
+PM+pNe1dxzAmttp5nk+2JjEmYgj0ATFrc+MxP1+dtTEiYiQ9d/bcwYMHd+/efeLEifPnzydJMjAw
+cPvtt28e3bxu7br+/v6+vr5msxmttJh5NET/8HPnzh0+fHjv3r1vv/32sWPHzp8/n6bpvPnz1ty6
+5o477li0aBHyZG20J89pA6Obp8pUR+ZCrUaDaLaPnxW7TdRnAQuIIERaIBBMA0KkBdcNePaABXgH
+hcbbWYdJg08dwpBYIVcLG7AogcB8gsr27cCo87xocY+Pjx86dOgPH310aGzszJkzAKCUGhwcXL9h
+w8jw8PIVywf6BxYsWDB//nyUf3d/CXmenzt/7vT46fHx8U8//fTo0aNjhw7t27v3xIkT+Lr6+/tX
+rVo1MjIyMjLS399Pmy0pV+BboKOONLMcS9OicW2MwYwhSZIwBuZ70Shgk9K74DKiE2HufnKX8ezi
+csXk94qjxoF4ep3qadBg6TMLPiPKw9L4j41MB4V3zgMcfaVqMgm1SAqeFVGxCKmtVuvEiROHDh06
+dOjQhx9+ODExAQBpmg6tHFp/+/qVK1cOLhkcGBjon98/MDDQ29eLhdouaLVbZ86cOXP6zKeffjo+
+Pv7RRx+NjY3t378f46zWeuHChWvWrBkaGhoaGpo7d643BKsERAp2eIT6z7ygbBLTSBt8WXR5aUUl
+IIL8YQoEgmlAiLTgekLJxtOx5dK8Lx2WgJQTiLKim09KM3U3p9N4hxMTE2fPnj1+/Pgf/vCHQ4cO
+Xbx4EW/VbDabzeacOXP6+vrmzp27ePHi3t7e3t5ePN5oNLDKPjExcfHixQsXLpw8efLcuXMXLlw4
+f/78xYsXJycnkff29vauXr16aGho6dKl8/vn9zZ7McZTM1kb7XvRoSnNK+5JmmCWwIvueBreEO9K
+aWWCtRh9lQ6Y4OpgRhy7+21nevNLw5RC6xndXP6+BJcXJSe/UjQsnDizzDuQhUpxlmfUkeZz0Uik
+aTyKf6UNW1mWXTh/YXx8/MiRI3/4wx8OHz6MynDnHAa+uXPn9vb1DfT3L1iwoNls4sHe3l5jzETA
+hYsXzp09d+rUqfMXLpw/d+7ixYu44xpDWH9//8jIyMqVKxcuXDhv3rxGT8NoUxMQ09RoUw1/fBaa
+k2fsXVNlubT6kS+AxHdV/mAFAsF0IERacP0hsloJqYMXtvG1WGxOjAgzJ9KcXZdQSkF4aoJt6qNH
+j37yySdnzpy5cOHC2bNnkS3js6oNwPQtzCrmzZvXN2dO//z5g0sGly9bPrBgAGvk2DQ2ibfVTtLU
+aJ2kXopWKr1XJdwo8MZcAeF3Y/JedEgaUI7u9XgCwbXGdPrSswGfkWALBJcRVYG3dw+xzEAky3Ob
+t9ttXyhuh3/tdpZnuOeisN7M2ngmHiHrMg7rbJ7l1tnJicmTJ09+fPTjkydOnjt37ty5c+fPn79w
+4QJSa+gaDbXWfX19GA17+/oWLlgwODhYWHtqZYzRSvPdVDSpRPQ4TdM08TspUNqN5JlCZHFmklJP
+m6JgPuHKAAAMUElEQVSh58+hHQ0izhIIBDNEcq2fgEBwKVBKUTDmbepCkeXCsFYIiroCqkm3s7Zp
+Gw5MHYwx1trMZNTuts72uJ5ms7lkyRJUu01OTk5OTvpC+4ULxbrOyUkqsdMqjt7e3r6+vkaj4VvW
+PY3EJKQro2fF8wYab66Rdgc7MbxqjKGutWfjyMyZRzcHSPYvmE2YjkN1FZedZssfheB6BG+latBK
+q8K8w/mI6dXLEMijUjrXWum2aRd7HLQ22mQmM8ZgWdlkJjcxkbbWJtZa22g05s6dOzQ0hH4fE5MT
+GBAvnL+Auq3JyUlUj+d5zvdRNRqNOXPmNJvNnoBGo8FNv5RSFLkwFFYDIoVF6kvzKIl8G49oo5E/
++9iqanrRIH/7AoFg5hAiLbiOwUmytdZoY8ECAChIIFG5Ki3asc6PVRtjnHMYXzFUt3XbsDq1yU1b
+tzF90FrnNte5xgTCWaeUwjtpNBp9fX0QlnLho/BZ7lJ4po3N5GhSDGgRhS7+r4v2MlN0Y+cZ6+54
+DwaJc1Cs4T1Qi7uou9clDc45yR4E1y/kt1dwMwMZMn2FEA3xAlhw2qF5h9EGHGitkbJqrZXWOtMF
+r9aF2xbtOYew81wplSQJabyJUTvrMHilaTpnzhyoi4YwvYBYjYb4tKcfEP2WiiQFgMhLTPuw6Hdc
+CYsWCASXCUKkBdcfeNJQyh7KQuXYTAsL3agW08bHb6NNlmUmMVk7M5nJE29UlmQ+acjyDBVy3sEl
+z2kODQCQmgMT13V6zkXA1lop4AlEiPD+iZEVSqFJSwztvuJ2YgBAhivkRIqmpAZfW0XRLWYqAoFA
+cMOgE5fuFA2hQ0CcMhoCQPeAOM1oCB0CYjUaAsCMAiJFQwCQgCgQCK4ChEgLrkuQtLucPTirIc4e
+koTba4WkwRNprFK3s8y0TWYyk5k8y01mbG7JZIXyhtzmzvpJbJR5A5vTjnd7lp8tsNTBP5mwfYr0
+bFQyp7yBbMZ83sCnvtIE6+4p5QrhaxBzd/PoBkkaBAKB4IZAPZfuEA2Bd4BZQJwyGkJMpKsBcTrR
+EDoHxGo0hJhITxkQKRoCgAREgUBwFSBEWnC9gneki+wBtNU+e1BK5ZArpXIFUcDWWmlldCDSidEt
+nRiTZVmSJVmWJXmStTOUsaHfWPBsyfmwtLMOgowNEwio25rLg3QksUYdnSqmtUnAZnSh0y6cxmgj
+dCP1tfbEAAAmGYUTaUgXaOBNkgaBQCC4sVHDpTtEQ4D6gDhlNASA7gFxymgIXQNiNRoCwIwCIkVD
+IGm3BESBQHAlIURacH2jU/ZglMnz3DtsaWWV5fVvrXWuc8CGcK6NNrjnI0kS71OaZFmW0TItKsDb
+wsCbpN3BOTw0qGs23KqQNyjN0xfgFmihIYAFePJW4a1mNFDBdAGPQEgXCouyMAOGGjlQIEmDQCAQ
+3PCYZjQEgNqAOGU0BIDuAXFa0RA6BsRqNITQkZ5mQCQAI9ISEAUCwZWDEGnBdY/a7MGBQ89tUKAs
+c01hARuQx2baGtvOMmN8AmGt9Qul8yzN09LaD0wdcptb663MeAIBAJg7RN4q4KN1QaGV0loBAI2m
+8T0fhcA7MWjrjRmDNiZl5qWULrAyvjbaUGlfMYAkDQKBQHBDYwbREMoBccpoCABTBMQO0RCmFxCr
+0RAYH55OQKRoCAASEAUCwVWAEGnBjQCKhZg9KKXItlQ5ZcF6YZvWVinrXNEQNlprjdbcmDEYY3Kb
+J1mSJzxfyMlehZXfLQA460qDYdUivCrGwYLBiY5mpIt1XKESXwCXdoQEgh/El2CSRCuljdFKFeo1
+9nD0FknGIBAIBDc2poyGQErvOCBOGQ0BoHtAnE40hM4BsRoNgbl2TycgUjQEAAmIAoHgKkCItODG
+AU1NQ9gvjRozo3wxXluXK9DWKQU2twCgrNJKa6Np05U1Ns9zm9jImzTPc2sdzoOFGjw+EBXgIV77
+UX1upT0fNCRGBXiltWETYliDr52gpn8AgBV3XncvqddAkgaBQCC4mdAlGgJAbUCcMhoCQPeAOM1o
+CB0CYjUaQpBcTTMgUjQEAAmIAoHgKkCItOCGAs8euLCNt6YxX7AKibR22vp/RpvcUJZgcoMpA1ev
+oUmpA4fGKkAz0uCwGA/dXbu1UhDNSOMR8imlTILxaR3kajxXwPK7hmBXVqq7S9IgEAgENzM6RUOI
+tVoUEKeMhgDQPSBOJxpC54BYjYaAqrFpB0SKhsCczCQgCgSCKwfVpWQoEFynKIVwXyB3FlzRQA4H
+HWYFjtICttKDL/bwrirBmxTTBWDSbgBw+JjVvymllPKDYaRko6vcsJRSAV53p4YzZQyYepQq+lh3
+xyP0sCAzYAKBQHCzojYaQl1AnDIa4s27BMRpRUPoGBCr0RBCpXiaAZEXqSUgCgSCqwAh0oIbFrTK
+ki7TESyiA4BPAlgCgdei3ZiYSTjn8FvWAjNTwXSkdP+166+KunhQmgHGeKWMTya0H+vS8VJNX62P
+Mgai4ujIInV3gUAgENSCR0PoEBCnjIYA0D0gThkNoWtArEZDAJhRQKRoyB+CHlcCokAguOwQIi24
+kVEK5C4G4BwXydLAYfoQ8glWa3fRhZJ0zTlnnaMHgE57pP3Cj9g4NNa2RRfIa1T70TV/JrsJdKDQ
+IHV3gUAgEARUY1MpIE4ZDSF0pDsFxCmjIXQNiNVoCGGaepoBsWrKDRIQBQLBlYQQacGNj1Ixnmab
+6VuRLC1Yj1KhnZIJPgmGl4HlIkBiNoj1bD6KFzs/KGmA4F8aZQOFRQrV5pX3SqlkDPgIJF0DqbsL
+BAKBoAOq5JYC4pTREE/uEhCnjobQLSBWoyFEnmHTCog8GoIERIFAcIUhRFpws6CWTkOlKl+tzVtX
+rMek7wKKugHARXfi75Pt/MB0AUpKszC+BbEnit+oqToW2iVjEAgEAsFnRKf6cpdoCJ5Idw6IU0VD
+mCog1qyqkoAoEAhmMYRIC24i1CrNWBXdYRW9lDfwWjvmEKW6O9XeS6kJR6Q3U74RXRxXiqUPUTG+
+UHGraP1m6c5BpGsCgUAgmDa6ib3roiFMFRCnGQ2hQ0CsRkNgQRCmFxBLim6BQCC4ohAiLbjp0IVO
+Ayurk2KN3FOwBU3T0f4qU6/V3jOUiG4QtlFHmmTexa5LVUi+AYpqvWQMAoFAILiM6ESnoRINgRWO
+awPidKIhdA2IpWgIMdOeTkCUaCgQCK4mhEgLbmqUquZFnzlQaAiboiHOIfwJ/lbFjbs8FtmrBC1b
+7CwarwMJZ8SNa3ZXki4IBAKB4HJhOtEQpgqI04yGUBcQq9EQJCAKBILZDSHSAkG3kjxUSHUk6oZi
+RprfVRXl7rHqOCpGuQJIxV0gEAgEVxHdoyF0D4jTi4bQOSCWoiGABESBQDCrIURaICjQXfUNldp8
+6SZTd6RrY39X8gySLggEAoHg6qJTUOsSEKcfDaFTQIyjIUhAFAgEsxv6s9+FQCAQCAQCgUAgEAgE
+Nw+kIy0Q1KBLMR7qWtZQWvLBv1/qPVdK6Z3q61J3FwgEAsG1RZcOc60OHLpEQ5giIHaJehIQBQLB
+LIQQaYFgCkxn4quaSXDUWm1XIS7cAoFAIJjNmDIgdo+GML2AOM2gKRAIBNcWQqQFgpmhth09U9Q2
+pQUCgUAguI4wJW2eDoQ2CwSC6xRCpAUCgUAgEAgEAoFAIJgBxGxMIBAIBAKBQCAQCASCGUCItEAg
+EAgEAoFAIBAIBDOAEGmBQCAQCAQCgUAgEAhmACHSAoFAIBAIBAKBQCAQzABCpAUCgUAgEAgEAoFA
+IJgBhEgLBAKBQCAQCAQCgUAwAwiRFggEAoFAIBAIBAKBYAYQIi0QCAQCgUAgEAgEAsEMIERaIBAI
+BAKBQCAQCASCGUCItEAgEAgEAoFAIBAIBDOAEGmBQCAQCAQCgUAgEAhmACHSAoFAIBAIBAKBQCAQ
+zABCpAUCgUAgEAgEAoFAIJgBhEgLBAKBQCAQCAQCgUAwAwiRFggEAoFAIBAIBAKBYAYQIi0QCAQC
+gUAgEAgEAsEMIERaIBAIBAKBQCAQCASCGUCItEAgEAgEAoFAIBAIBDOAEGmBQCAQCAQCgUAgEAhm
+ACHSAoFAIBAIBAKBQCAQzABCpAUCgUAgEAgEAoFAIJgBhEgLBAKBQCAQCAQCgUAwAwiRFggEAoFA
+IBAIBAKBYAYQIi0QCAQCgUAgEAgEAsEM8P8D2kTs8FbqHjQAAAAldEVYdGRhdGU6Y3JlYXRlADIw
+MjAtMDUtMjdUMTA6NTg6NTQrMDM6MDBKRgujAAAAJXRFWHRkYXRlOm1vZGlmeQAyMDIwLTA1LTI3
+VDEwOjU4OjU0KzAzOjAwOxuzHwAAACB0RVh0cGRmOkhpUmVzQm91bmRpbmdCb3gANjI2eDQ3NSsw
+KzCQhDClAAAAFHRFWHRwZGY6VmVyc2lvbgBQREYtMS4zIFMGrL8AAAAASUVORK5CYII=" />
+</svg>
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/fig/goto.drawio	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,1 @@
+<mxfile host="app.diagrams.net" modified="2021-02-08T00:33:06.516Z" agent="5.0 (Macintosh; Intel Mac OS X 10_15_7) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/88.0.4324.150 Safari/537.36" etag="cSnCzo-mDx1Px6_YXM6u" version="14.2.9" type="device"><diagram id="icBlebNF4znFYw3Hrgqr" name="Page-1">3VZRb5swEP41PHbCUJL2cUvaTpoqTYq2pY8uvoI1gzPHFOiv31HbgKFR1mrtqr1Evs9357vvPhwH8aporhTd5deSgQiikDVBvA6iaBGF+NsBrQVCC2SKMwORAdjwB7Cgc6s4g73nqKUUmu98MJVlCan2MKqUrH23Oyn8U3c0gxmwSamYoz8407lBz6LlgH8GnuXuZLI4NzsFdc62k31OmaxHUHwRxCslpTarolmB6LhzvJi4ywO7fWEKSv0nAZeJOvlS3f26/dY8fN/ecHa9/Hlis9xTUdmGU5wgIldAla1bt44MJauSQZcvDOJPdc41bHY07XZrnD5iuS4EWgSXNjMoDc3BkklPBAoIZAFatehiA04tdVY7iTXrYRDEsZuPhrCwGLWzz/rEAz24sAw9g61oxhajmh5iCxOiSuFNmOpV1vrMHaPq7LWoit+3sOJ3pqzTGV1zOZXsY3ehoZUKut/z1KcFW1ft1lL4aNx0xofEmetmvLlundVwvXU5cD2KQmsI6gwXY4oDNrs7JwPABmSlUjj+TWmqMtDHBDUf6GhiyRMDc5gCQTW/98t9aor2hK+SYyO9XsjS1wshEyGYNm3U+BKeJJp+p1NBGRpmeR411Xf9cpklz5JZKUv4LzTmHg7HNBb9U42d+9KIwpdqbPK3SZK/JTI0h/eKcR8effHFbw==</diagram></mxfile>
\ No newline at end of file
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/fig/graph.drawio	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,1 @@
+<mxfile host="app.diagrams.net" modified="2021-02-07T00:53:48.602Z" agent="5.0 (Macintosh; Intel Mac OS X 10_15_7) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/88.0.4324.150 Safari/537.36" etag="_YRIz8hW9arvCpLGTcoJ" version="14.2.9" type="device"><diagram id="QWCYXeWz_ybh-K05SQi2" name="Page-1">1VlNc5swEP01PobRB5LgGCdpO9NJm6kPbY7EKLam2Lggx3Z+fYUlAYI4dlwSqC9mF2mRnt4+rWCErxbbz1m0mt+mMU9GCMTbEb4eIQQJZeqv8Oy0J8TGMctEbBpVjol45sYJjHctYp47DWWaJlKsXOc0XS75VDq+KMvSjdvsMU3cp66iGW85JtMoaXt/iljOtTdArPJ/4WI2t0+GNNR3FpFtbGaSz6M43dRc+GaEr7I0lfpqsb3iSQGexUX3+3TgbjmwjC/lKR3At8s/3+PZBb7NJ/7mK/r9g4MLE+UpStZmwmawcmcRUFEU2MoYb+ZC8skqmhZ3Nmq9lW8uF4myoLqM8pVegUex5eqhYxObZ5JvDw4allAoDvF0wWW2U01sB2rQM/TxiTY31VpQ45rXlsH6IrP6szJwBZC6MBi9AS9/4HghF64yjWp4MdDGy/o6x4sMHS9MHMBg34DRgQMWgGHhxU7AaxlfFjuBsqZJlOdi6sLEt0L+UtfAA9ja93ubUmTs62L6wBq7mnHHM6GmwjPj04/ncWtbaSCshpiusyk/Ls4yymZcHuNMe8VqS0JeWBLry3gSSfHkDveldTJPuEuFmkiVQQ3JgQB5DfXVEzX96jtUMxRzQ5FWJA1FK9KeOeXMzyeTJXMnbKoxCb7Kog4Z0ysTYIg8AAmwP9hQisADKKx+7DySsAM73EdR5JSK6WTBCQPyPwoO6VVwwKs0Q93QLMRqMTAKfApQgBlFLuuA74XQZyygDPnUB8HHkhC1SJjbU0ZFQ7XJy0ZBkIjZsmClYkbBn3FRCgh12Lk0NxYijovu44zn4jl62IcqSLYqprKfHBmPyHURay3TXB/XYFe1q1uL4XZpgV+gFXqv0gIGJ6R6v8X+wKp9GHYgjks1Eq2OlDDruLcCWBiVNu6tujjqjoi5dVyoAx2W1SJOU1f/TWuPaqhl11FR7ldsKfCgElWqjtSBz0DAXLVloReGAcMM+5AgJYnnqS0i2CO4Jre4IbfEg7Q3uUWnlIW9viWBAxMC1EWVVAkBOU8GWJn2RgYUPd+vujqa8eWLwGFX8Y03bqX91pyG7NUSCoa9llCWkIPNaRQOLadx/zld39a7OlQfz1s7z0Hv1Biog4+7bUJG1e58XvZiv5G9H5O8yqy+iOjm1XclfPMX</diagram></mxfile>
\ No newline at end of file
Binary file fig/input-outputDataSegment.pdf has changed
Binary file fig/meta_gear.pdf has changed
Binary file fig/model_checking.emf has changed
Binary file fig/model_checking.jpg has changed
Binary file fig/model_checking.odg has changed
Binary file fig/model_checking.pdf has changed
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/fig/model_checking.svg	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,421 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
+<svg version="1.2" width="210mm" height="297mm" viewBox="0 0 21000 29700" preserveAspectRatio="xMidYMid" fill-rule="evenodd" stroke-width="28.222" stroke-linejoin="round" xmlns="http://www.w3.org/2000/svg" xmlns:ooo="http://xml.openoffice.org/svg/export" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns:presentation="http://sun.com/xmlns/staroffice/presentation" xmlns:smil="http://www.w3.org/2001/SMIL20/" xmlns:anim="urn:oasis:names:tc:opendocument:xmlns:animation:1.0" xml:space="preserve">
+ <defs class="ClipPathGroup">
+  <clipPath id="presentation_clip_path" clipPathUnits="userSpaceOnUse">
+   <rect x="0" y="0" width="21000" height="29700"/>
+  </clipPath>
+  <clipPath id="presentation_clip_path_shrink" clipPathUnits="userSpaceOnUse">
+   <rect x="21" y="29" width="20958" height="29641"/>
+  </clipPath>
+ </defs>
+ <defs>
+  <font id="EmbeddedFont_1" horiz-adv-x="2048">
+   <font-face font-family="Liberation Sans embedded" units-per-em="2048" font-weight="normal" font-style="normal" ascent="1870" descent="439"/>
+   <missing-glyph horiz-adv-x="2048" d="M 0,0 L 2047,0 2047,2047 0,2047 0,0 Z"/>
+   <glyph unicode="●" horiz-adv-x="901" d="M 618,1018 C 691,1018 764,999 837,960 909,921 964,866 1002,793 1040,720 1059,649 1059,578 1059,456 1016,352 930,266 844,180 740,137 618,137 497,137 393,180 307,267 221,353 178,457 178,578 178,650 197,722 234,793 271,864 325,919 397,959 468,998 542,1018 618,1018 Z"/>
+   <glyph unicode="z" horiz-adv-x="856" d="M 83,0 L 83,137 688,943 117,943 117,1082 901,1082 901,945 295,139 922,139 922,0 Z"/>
+   <glyph unicode="y" horiz-adv-x="1040" d="M 191,-425 C 142,-425 100,-421 67,-414 L 67,-279 C 92,-283 120,-285 151,-285 263,-285 352,-203 417,-38 L 434,5 5,1082 197,1082 425,484 C 428,475 432,464 437,451 442,438 457,394 482,320 507,246 521,205 523,196 L 593,393 830,1082 1020,1082 604,0 C 559,-115 518,-201 479,-258 440,-314 398,-356 351,-384 304,-411 250,-425 191,-425 Z"/>
+   <glyph unicode="u" horiz-adv-x="902" d="M 314,1082 L 314,396 C 314,325 321,269 335,230 349,191 371,162 402,145 433,128 478,119 537,119 624,119 692,149 742,208 792,267 817,350 817,455 L 817,1082 997,1082 997,231 C 997,105 999,28 1003,0 L 833,0 C 832,3 832,12 831,27 830,42 830,59 829,78 828,97 826,132 825,185 L 822,185 C 781,110 733,58 679,27 624,-5 557,-20 476,-20 357,-20 271,10 216,69 161,128 133,225 133,361 L 133,1082 Z"/>
+   <glyph unicode="t" horiz-adv-x="532" d="M 554,8 C 495,-8 434,-16 372,-16 228,-16 156,66 156,229 L 156,951 31,951 31,1082 163,1082 216,1324 336,1324 336,1082 536,1082 536,951 336,951 336,268 C 336,216 345,180 362,159 379,138 408,127 450,127 474,127 509,132 554,141 Z"/>
+   <glyph unicode="s" horiz-adv-x="925" d="M 950,299 C 950,197 912,118 835,63 758,8 650,-20 511,-20 376,-20 273,2 200,47 127,91 79,160 57,254 L 216,285 C 231,227 263,185 311,158 359,131 426,117 511,117 602,117 669,131 712,159 754,187 775,229 775,285 775,328 760,362 731,389 702,416 654,438 589,455 L 460,489 C 357,516 283,542 240,568 196,593 162,624 137,661 112,698 100,743 100,796 100,895 135,970 206,1022 276,1073 378,1099 513,1099 632,1099 727,1078 798,1036 868,994 912,927 931,834 L 769,814 C 759,862 732,899 689,925 645,950 586,963 513,963 432,963 372,951 333,926 294,901 275,864 275,814 275,783 283,758 299,738 315,718 339,701 370,687 401,673 467,654 568,629 663,605 732,583 774,563 816,542 849,520 874,495 898,470 917,442 930,410 943,377 950,340 950,299 Z"/>
+   <glyph unicode="r" horiz-adv-x="556" d="M 142,0 L 142,830 C 142,906 140,990 136,1082 L 306,1082 C 311,959 314,886 314,861 L 318,861 C 347,954 380,1017 417,1051 454,1085 507,1102 575,1102 599,1102 623,1099 648,1092 L 648,927 C 624,934 592,937 552,937 477,937 420,905 381,841 342,776 322,684 322,564 L 322,0 Z"/>
+   <glyph unicode="p" horiz-adv-x="948" d="M 1053,546 C 1053,169 920,-20 655,-20 488,-20 376,43 319,168 L 314,168 C 317,163 318,106 318,-2 L 318,-425 138,-425 138,861 C 138,972 136,1046 132,1082 L 306,1082 C 307,1079 308,1070 309,1054 310,1037 312,1012 314,978 315,944 316,921 316,908 L 320,908 C 352,975 394,1024 447,1055 500,1086 569,1101 655,1101 788,1101 888,1056 954,967 1020,878 1053,737 1053,546 Z M 864,542 C 864,693 844,800 803,865 762,930 698,962 609,962 538,962 482,947 442,917 401,887 371,840 350,777 329,713 318,630 318,528 318,386 341,281 386,214 431,147 505,113 607,113 696,113 762,146 803,212 844,277 864,387 864,542 Z"/>
+   <glyph unicode="o" horiz-adv-x="994" d="M 1053,542 C 1053,353 1011,212 928,119 845,26 724,-20 565,-20 407,-20 288,28 207,125 126,221 86,360 86,542 86,915 248,1102 571,1102 736,1102 858,1057 936,966 1014,875 1053,733 1053,542 Z M 864,542 C 864,691 842,800 798,868 753,935 679,969 574,969 469,969 393,935 346,866 299,797 275,689 275,542 275,399 298,292 345,221 391,149 464,113 563,113 671,113 748,148 795,217 841,286 864,395 864,542 Z"/>
+   <glyph unicode="n" horiz-adv-x="902" d="M 825,0 L 825,686 C 825,757 818,813 804,852 790,891 768,920 737,937 706,954 661,963 602,963 515,963 447,933 397,874 347,815 322,732 322,627 L 322,0 142,0 142,851 C 142,977 140,1054 136,1082 L 306,1082 C 307,1079 307,1070 308,1055 309,1040 310,1024 311,1005 312,986 313,950 314,897 L 317,897 C 358,972 406,1025 461,1056 515,1087 582,1102 663,1102 782,1102 869,1073 924,1014 979,955 1006,857 1006,721 L 1006,0 Z"/>
+   <glyph unicode="m" horiz-adv-x="1479" d="M 768,0 L 768,686 C 768,791 754,863 725,903 696,943 645,963 570,963 493,963 433,934 388,875 343,816 321,734 321,627 L 321,0 142,0 142,851 C 142,977 140,1054 136,1082 L 306,1082 C 307,1079 307,1070 308,1055 309,1040 310,1024 311,1005 312,986 313,950 314,897 L 317,897 C 356,974 400,1027 450,1057 500,1087 561,1102 633,1102 715,1102 780,1086 828,1053 875,1020 908,968 927,897 L 930,897 C 967,970 1013,1022 1066,1054 1119,1086 1183,1102 1258,1102 1367,1102 1447,1072 1497,1013 1546,954 1571,856 1571,721 L 1571,0 1393,0 1393,686 C 1393,791 1379,863 1350,903 1321,943 1270,963 1195,963 1116,963 1055,934 1012,876 968,817 946,734 946,627 L 946,0 Z"/>
+   <glyph unicode="l" horiz-adv-x="209" d="M 138,0 L 138,1484 318,1484 318,0 Z"/>
+   <glyph unicode="k" horiz-adv-x="925" d="M 816,0 L 450,494 318,385 318,0 138,0 138,1484 318,1484 318,557 793,1082 1004,1082 565,617 1027,0 Z"/>
+   <glyph unicode="i" horiz-adv-x="209" d="M 137,1312 L 137,1484 317,1484 317,1312 Z M 137,0 L 137,1082 317,1082 317,0 Z"/>
+   <glyph unicode="h" horiz-adv-x="878" d="M 317,897 C 356,968 402,1020 457,1053 511,1086 580,1102 663,1102 780,1102 867,1073 923,1015 978,956 1006,858 1006,721 L 1006,0 825,0 825,686 C 825,762 818,819 804,856 790,893 767,920 735,937 703,954 659,963 602,963 517,963 450,934 399,875 348,816 322,737 322,638 L 322,0 142,0 142,1484 322,1484 322,1098 C 322,1057 321,1015 319,972 316,929 315,904 314,897 Z"/>
+   <glyph unicode="g" horiz-adv-x="948" d="M 548,-425 C 430,-425 336,-402 266,-356 196,-309 151,-243 131,-158 L 312,-132 C 324,-182 351,-221 392,-248 433,-275 486,-288 553,-288 732,-288 822,-183 822,27 L 822,201 820,201 C 786,132 739,80 680,45 621,10 551,-8 472,-8 339,-8 242,36 180,124 117,212 86,350 86,539 86,730 120,872 187,963 254,1054 355,1099 492,1099 569,1099 635,1082 692,1047 748,1012 791,962 822,897 L 824,897 C 824,917 825,952 828,1001 831,1050 833,1077 836,1082 L 1007,1082 C 1003,1046 1001,971 1001,858 L 1001,31 C 1001,-273 850,-425 548,-425 Z M 822,541 C 822,629 810,705 786,769 762,832 728,881 685,915 641,948 591,965 536,965 444,965 377,932 335,865 293,798 272,690 272,541 272,393 292,287 331,222 370,157 438,125 533,125 590,125 640,142 684,175 728,208 762,256 786,319 810,381 822,455 822,541 Z"/>
+   <glyph unicode="f" horiz-adv-x="555" d="M 361,951 L 361,0 181,0 181,951 29,951 29,1082 181,1082 181,1204 C 181,1303 203,1374 246,1417 289,1460 356,1482 445,1482 495,1482 537,1478 572,1470 L 572,1333 C 542,1338 515,1341 492,1341 446,1341 413,1329 392,1306 371,1283 361,1240 361,1179 L 361,1082 572,1082 572,951 Z"/>
+   <glyph unicode="e" horiz-adv-x="994" d="M 276,503 C 276,379 302,283 353,216 404,149 479,115 578,115 656,115 719,131 766,162 813,193 844,233 861,281 L 1019,236 C 954,65 807,-20 578,-20 418,-20 296,28 213,123 129,218 87,360 87,548 87,727 129,864 213,959 296,1054 416,1102 571,1102 889,1102 1048,910 1048,527 L 1048,503 Z M 862,641 C 852,755 823,838 775,891 727,943 658,969 568,969 481,969 412,940 361,882 310,823 282,743 278,641 Z"/>
+   <glyph unicode="d" horiz-adv-x="948" d="M 821,174 C 788,105 744,55 689,25 634,-5 565,-20 484,-20 347,-20 247,26 183,118 118,210 86,349 86,536 86,913 219,1102 484,1102 566,1102 634,1087 689,1057 744,1027 788,979 821,914 L 823,914 821,1035 821,1484 1001,1484 1001,223 C 1001,110 1003,36 1007,0 L 835,0 C 833,11 831,35 829,74 826,113 825,146 825,174 Z M 275,542 C 275,391 295,282 335,217 375,152 440,119 530,119 632,119 706,154 752,225 798,296 821,405 821,554 821,697 798,802 752,869 706,936 633,969 532,969 441,969 376,936 336,869 295,802 275,693 275,542 Z"/>
+   <glyph unicode="c" horiz-adv-x="925" d="M 275,546 C 275,402 298,295 343,226 388,157 457,122 548,122 612,122 666,139 709,174 752,209 778,262 788,334 L 970,322 C 956,218 912,135 837,73 762,11 668,-20 553,-20 402,-20 286,28 207,124 127,219 87,359 87,542 87,724 127,863 207,959 287,1054 402,1102 551,1102 662,1102 754,1073 827,1016 900,959 945,880 964,779 L 779,765 C 770,825 746,873 708,908 670,943 616,961 546,961 451,961 382,929 339,866 296,803 275,696 275,546 Z"/>
+   <glyph unicode="a" horiz-adv-x="1087" d="M 414,-20 C 305,-20 224,9 169,66 114,123 87,202 87,302 87,414 124,500 198,560 271,620 390,652 554,656 L 797,660 797,719 C 797,807 778,870 741,908 704,946 645,965 565,965 484,965 426,951 389,924 352,897 330,853 323,793 L 135,810 C 166,1005 310,1102 569,1102 705,1102 807,1071 876,1009 945,946 979,856 979,738 L 979,272 C 979,219 986,179 1000,152 1014,125 1041,111 1080,111 1097,111 1117,113 1139,118 L 1139,6 C 1094,-5 1047,-10 1000,-10 933,-10 885,8 855,43 824,78 807,132 803,207 L 797,207 C 751,124 698,66 637,32 576,-3 501,-20 414,-20 Z M 455,115 C 521,115 580,130 631,160 682,190 723,231 753,284 782,336 797,390 797,445 L 797,534 600,530 C 515,529 451,520 408,504 364,488 330,463 307,430 284,397 272,353 272,299 272,240 288,195 320,163 351,131 396,115 455,115 Z"/>
+   <glyph unicode="T" horiz-adv-x="1202" d="M 720,1253 L 720,0 530,0 530,1253 46,1253 46,1409 1204,1409 1204,1253 Z"/>
+   <glyph unicode="S" horiz-adv-x="1202" d="M 1272,389 C 1272,259 1221,158 1120,87 1018,16 875,-20 690,-20 347,-20 148,99 93,338 L 278,375 C 299,290 345,228 414,189 483,149 578,129 697,129 820,129 916,150 983,193 1050,235 1083,297 1083,379 1083,425 1073,462 1052,491 1031,520 1001,543 963,562 925,581 880,596 827,609 774,622 716,635 652,650 541,675 456,699 399,724 341,749 295,776 262,807 229,837 203,872 186,913 168,954 159,1000 159,1053 159,1174 205,1267 298,1332 390,1397 522,1430 694,1430 854,1430 976,1406 1061,1357 1146,1308 1205,1224 1239,1106 L 1051,1073 C 1030,1148 991,1202 933,1236 875,1269 795,1286 692,1286 579,1286 493,1267 434,1230 375,1193 345,1137 345,1063 345,1020 357,984 380,956 403,927 436,903 479,884 522,864 609,840 738,811 781,801 825,791 868,781 911,770 952,758 991,744 1030,729 1067,712 1102,693 1136,674 1166,650 1191,622 1216,594 1236,561 1251,523 1265,485 1272,440 1272,389 Z"/>
+   <glyph unicode="R" horiz-adv-x="1224" d="M 1164,0 L 798,585 359,585 359,0 168,0 168,1409 831,1409 C 990,1409 1112,1374 1199,1303 1285,1232 1328,1133 1328,1006 1328,901 1298,813 1237,742 1176,671 1091,626 984,607 L 1384,0 Z M 1136,1004 C 1136,1086 1108,1149 1053,1192 997,1235 917,1256 812,1256 L 359,1256 359,736 820,736 C 921,736 999,760 1054,807 1109,854 1136,919 1136,1004 Z"/>
+   <glyph unicode="Q" horiz-adv-x="1410" d="M 1495,711 C 1495,512 1445,349 1345,221 1245,93 1106,17 928,-6 955,-90 991,-151 1036,-188 1080,-225 1136,-244 1204,-244 1241,-244 1279,-240 1319,-231 L 1319,-365 C 1257,-380 1198,-387 1141,-387 1040,-387 958,-359 893,-302 828,-245 774,-149 733,-16 601,-9 487,24 392,85 296,145 223,229 173,337 122,444 97,569 97,711 97,936 159,1113 282,1240 405,1367 577,1430 797,1430 940,1430 1065,1402 1170,1345 1275,1288 1356,1205 1412,1096 1467,987 1495,859 1495,711 Z M 1300,711 C 1300,886 1256,1024 1169,1124 1081,1224 957,1274 797,1274 636,1274 511,1225 423,1126 335,1027 291,889 291,711 291,534 336,394 425,291 514,187 637,135 795,135 958,135 1083,185 1170,286 1257,386 1300,528 1300,711 Z"/>
+   <glyph unicode="P" horiz-adv-x="1109" d="M 1258,985 C 1258,852 1215,746 1128,667 1041,588 922,549 773,549 L 359,549 359,0 168,0 168,1409 761,1409 C 919,1409 1041,1372 1128,1298 1215,1224 1258,1120 1258,985 Z M 1066,983 C 1066,1165 957,1256 738,1256 L 359,1256 359,700 746,700 C 959,700 1066,794 1066,983 Z"/>
+   <glyph unicode="M" horiz-adv-x="1386" d="M 1366,0 L 1366,940 C 1366,1044 1369,1144 1375,1240 1342,1121 1313,1027 1287,960 L 923,0 789,0 420,960 364,1130 331,1240 334,1129 338,940 338,0 168,0 168,1409 419,1409 794,432 C 807,393 820,351 833,306 845,261 853,228 857,208 862,235 874,275 891,330 908,384 919,418 925,432 L 1293,1409 1538,1409 1538,0 Z"/>
+   <glyph unicode="L" horiz-adv-x="924" d="M 168,0 L 168,1409 359,1409 359,156 1071,156 1071,0 Z"/>
+   <glyph unicode="G" horiz-adv-x="1364" d="M 103,711 C 103,940 164,1117 287,1242 410,1367 582,1430 804,1430 960,1430 1087,1404 1184,1351 1281,1298 1356,1214 1409,1098 L 1227,1044 C 1187,1124 1132,1182 1062,1219 991,1256 904,1274 799,1274 636,1274 512,1225 426,1127 340,1028 297,890 297,711 297,533 343,393 434,290 525,187 652,135 813,135 905,135 991,149 1071,177 1150,205 1215,243 1264,291 L 1264,545 843,545 843,705 1440,705 1440,219 C 1365,143 1274,84 1166,43 1057,1 940,-20 813,-20 666,-20 539,9 432,68 325,127 244,211 188,322 131,432 103,562 103,711 Z"/>
+   <glyph unicode="E" horiz-adv-x="1132" d="M 168,0 L 168,1409 1237,1409 1237,1253 359,1253 359,801 1177,801 1177,647 359,647 359,156 1278,156 1278,0 Z"/>
+   <glyph unicode="D" horiz-adv-x="1224" d="M 1381,719 C 1381,574 1353,447 1296,338 1239,229 1159,145 1055,87 951,29 831,0 695,0 L 168,0 168,1409 634,1409 C 873,1409 1057,1349 1187,1230 1316,1110 1381,940 1381,719 Z M 1189,719 C 1189,894 1141,1027 1046,1119 950,1210 811,1256 630,1256 L 359,1256 359,153 673,153 C 776,153 867,176 946,221 1024,266 1084,332 1126,417 1168,502 1189,603 1189,719 Z"/>
+   <glyph unicode="C" horiz-adv-x="1318" d="M 792,1274 C 636,1274 515,1224 428,1124 341,1023 298,886 298,711 298,538 343,400 434,295 524,190 646,137 800,137 997,137 1146,235 1245,430 L 1401,352 C 1343,231 1262,138 1157,75 1052,12 930,-20 791,-20 649,-20 526,10 423,69 319,128 240,212 186,322 131,431 104,561 104,711 104,936 165,1112 286,1239 407,1366 575,1430 790,1430 940,1430 1065,1401 1166,1342 1267,1283 1341,1196 1388,1081 L 1207,1021 C 1174,1103 1122,1166 1050,1209 977,1252 891,1274 792,1274 Z"/>
+   <glyph unicode="B" horiz-adv-x="1109" d="M 1258,397 C 1258,272 1212,174 1121,105 1030,35 903,0 740,0 L 168,0 168,1409 680,1409 C 1011,1409 1176,1295 1176,1067 1176,984 1153,914 1106,857 1059,800 993,762 908,743 1020,730 1106,692 1167,631 1228,569 1258,491 1258,397 Z M 984,1044 C 984,1120 958,1174 906,1207 854,1240 779,1256 680,1256 L 359,1256 359,810 680,810 C 782,810 858,829 909,868 959,906 984,965 984,1044 Z M 1065,412 C 1065,578 948,661 715,661 L 359,661 359,153 730,153 C 847,153 932,175 985,218 1038,261 1065,326 1065,412 Z"/>
+   <glyph unicode="5" horiz-adv-x="994" d="M 1053,459 C 1053,310 1009,193 921,108 832,23 710,-20 553,-20 422,-20 316,9 235,66 154,123 103,206 82,315 L 264,336 C 302,197 400,127 557,127 654,127 729,156 784,215 839,273 866,353 866,455 866,544 839,615 784,670 729,725 654,752 561,752 512,752 467,744 425,729 383,714 341,688 299,651 L 123,651 170,1409 971,1409 971,1256 334,1256 307,809 C 385,869 482,899 598,899 737,899 847,858 930,777 1012,696 1053,590 1053,459 Z"/>
+   <glyph unicode="4" horiz-adv-x="1040" d="M 881,319 L 881,0 711,0 711,319 47,319 47,459 692,1409 881,1409 881,461 1079,461 1079,319 Z M 711,1206 C 710,1202 700,1184 683,1153 666,1122 653,1100 644,1087 L 283,555 229,481 213,461 711,461 Z"/>
+   <glyph unicode="3" horiz-adv-x="994" d="M 1049,389 C 1049,259 1008,158 925,87 842,16 724,-20 571,-20 428,-20 315,12 230,77 145,141 94,236 78,362 L 264,379 C 288,212 390,129 571,129 662,129 733,151 785,196 836,241 862,307 862,395 862,472 833,532 774,575 715,618 629,639 518,639 L 416,639 416,795 514,795 C 613,795 689,817 744,860 798,903 825,962 825,1038 825,1113 803,1173 759,1217 714,1260 648,1282 561,1282 482,1282 418,1262 369,1221 320,1180 291,1123 283,1049 L 102,1063 C 115,1178 163,1268 246,1333 328,1398 434,1430 563,1430 704,1430 814,1397 893,1332 971,1266 1010,1174 1010,1057 1010,967 985,894 935,838 884,781 811,743 715,723 L 715,719 C 820,708 902,672 961,613 1020,554 1049,479 1049,389 Z"/>
+   <glyph unicode="2" horiz-adv-x="948" d="M 103,0 L 103,127 C 137,205 179,274 228,334 277,393 328,447 382,496 436,544 490,589 543,630 596,671 643,713 686,754 729,795 763,839 790,884 816,929 829,981 829,1038 829,1115 806,1175 761,1218 716,1261 653,1282 572,1282 495,1282 432,1261 383,1220 333,1178 304,1119 295,1044 L 111,1061 C 124,1174 172,1263 255,1330 337,1397 443,1430 572,1430 714,1430 823,1397 900,1330 976,1263 1014,1167 1014,1044 1014,989 1002,935 977,881 952,827 914,773 865,719 816,665 721,581 582,468 505,405 444,349 399,299 354,248 321,200 301,153 L 1036,153 1036,0 Z"/>
+   <glyph unicode="." horiz-adv-x="209" d="M 187,0 L 187,219 382,219 382,0 Z"/>
+   <glyph unicode=" " horiz-adv-x="577"/>
+  </font>
+ </defs>
+ <defs>
+  <font id="EmbeddedFont_2" horiz-adv-x="2048">
+   <font-face font-family="MS Pゴシック embedded" units-per-em="2048" font-weight="normal" font-style="normal" ascent="1755" descent="300"/>
+   <missing-glyph horiz-adv-x="2048" d="M 0,0 L 2047,0 2047,2047 0,2047 0,0 Z"/>
+   <glyph unicode="1" horiz-adv-x="601" d="M 872,1408 L 872,24 688,24 688,1088 288,1088 288,1216 C 523,1211 675,1275 744,1408 Z"/>
+   <glyph unicode=" " horiz-adv-x="1"/>
+  </font>
+ </defs>
+ <defs class="TextShapeIndex">
+  <g ooo:slide="id1" ooo:id-list="id3 id4 id5 id6 id7 id8 id9 id10 id11 id12 id13 id14 id15 id16 id17 id18 id19 id20 id21 id22 id23 id24 id25 id26 id27 id28 id29 id30 id31 id32 id33 id34 id35 id36 id37 id38 id39 id40 id41 id42 id43 id44 id45 id46 id47"/>
+ </defs>
+ <defs class="EmbeddedBulletChars">
+  <g id="bullet-char-template-57356" transform="scale(0.00048828125,-0.00048828125)">
+   <path d="M 580,1141 L 1163,571 580,0 -4,571 580,1141 Z"/>
+  </g>
+  <g id="bullet-char-template-57354" transform="scale(0.00048828125,-0.00048828125)">
+   <path d="M 8,1128 L 1137,1128 1137,0 8,0 8,1128 Z"/>
+  </g>
+  <g id="bullet-char-template-10146" transform="scale(0.00048828125,-0.00048828125)">
+   <path d="M 174,0 L 602,739 174,1481 1456,739 174,0 Z M 1358,739 L 309,1346 659,739 1358,739 Z"/>
+  </g>
+  <g id="bullet-char-template-10132" transform="scale(0.00048828125,-0.00048828125)">
+   <path d="M 2015,739 L 1276,0 717,0 1260,543 174,543 174,936 1260,936 717,1481 1274,1481 2015,739 Z"/>
+  </g>
+  <g id="bullet-char-template-10007" transform="scale(0.00048828125,-0.00048828125)">
+   <path d="M 0,-2 C -7,14 -16,27 -25,37 L 356,567 C 262,823 215,952 215,954 215,979 228,992 255,992 264,992 276,990 289,987 310,991 331,999 354,1012 L 381,999 492,748 772,1049 836,1024 860,1049 C 881,1039 901,1025 922,1006 886,937 835,863 770,784 769,783 710,716 594,584 L 774,223 C 774,196 753,168 711,139 L 727,119 C 717,90 699,76 672,76 641,76 570,178 457,381 L 164,-76 C 142,-110 111,-127 72,-127 30,-127 9,-110 8,-76 1,-67 -2,-52 -2,-32 -2,-23 -1,-13 0,-2 Z"/>
+  </g>
+  <g id="bullet-char-template-10004" transform="scale(0.00048828125,-0.00048828125)">
+   <path d="M 285,-33 C 182,-33 111,30 74,156 52,228 41,333 41,471 41,549 55,616 82,672 116,743 169,778 240,778 293,778 328,747 346,684 L 369,508 C 377,444 397,411 428,410 L 1163,1116 C 1174,1127 1196,1133 1229,1133 1271,1133 1292,1118 1292,1087 L 1292,965 C 1292,929 1282,901 1262,881 L 442,47 C 390,-6 338,-33 285,-33 Z"/>
+  </g>
+  <g id="bullet-char-template-9679" transform="scale(0.00048828125,-0.00048828125)">
+   <path d="M 813,0 C 632,0 489,54 383,161 276,268 223,411 223,592 223,773 276,916 383,1023 489,1130 632,1184 813,1184 992,1184 1136,1130 1245,1023 1353,916 1407,772 1407,592 1407,412 1353,268 1245,161 1136,54 992,0 813,0 Z"/>
+  </g>
+  <g id="bullet-char-template-8226" transform="scale(0.00048828125,-0.00048828125)">
+   <path d="M 346,457 C 273,457 209,483 155,535 101,586 74,649 74,723 74,796 101,859 155,911 209,963 273,989 346,989 419,989 480,963 531,910 582,859 608,796 608,723 608,648 583,586 532,535 482,483 420,457 346,457 Z"/>
+  </g>
+  <g id="bullet-char-template-8211" transform="scale(0.00048828125,-0.00048828125)">
+   <path d="M -4,459 L 1135,459 1135,606 -4,606 -4,459 Z"/>
+  </g>
+  <g id="bullet-char-template-61548" transform="scale(0.00048828125,-0.00048828125)">
+   <path d="M 173,740 C 173,903 231,1043 346,1159 462,1274 601,1332 765,1332 928,1332 1067,1274 1183,1159 1299,1043 1357,903 1357,740 1357,577 1299,437 1183,322 1067,206 928,148 765,148 601,148 462,206 346,322 231,437 173,577 173,740 Z"/>
+  </g>
+ </defs>
+ <g>
+  <g id="id2" class="Master_Slide">
+   <g id="bg-id2" class="Background"/>
+   <g id="bo-id2" class="BackgroundObjects"/>
+  </g>
+ </g>
+ <g class="SlideGroup">
+  <g>
+   <g id="container-id1">
+    <g id="id1" class="Slide" clip-path="url(#presentation_clip_path)">
+     <g class="Page">
+      <g class="com.sun.star.drawing.CustomShape">
+       <g id="id3">
+        <rect class="BoundingBox" stroke="none" fill="none" x="12999" y="1999" width="6003" height="1003"/>
+        <path fill="rgb(255,255,255)" stroke="none" d="M 16000,3000 L 13000,3000 13000,2000 19000,2000 19000,3000 16000,3000 Z"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 16000,3000 L 13000,3000 13000,2000 19000,2000 19000,3000 16000,3000 Z"/>
+        <text class="TextShape"><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="14576" y="2721"><tspan fill="rgb(0,0,0)" stroke="none">Scheduler</tspan></tspan></tspan></text>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.CustomShape">
+       <g id="id4">
+        <rect class="BoundingBox" stroke="none" fill="none" x="13099" y="3999" width="6003" height="1003"/>
+        <path fill="rgb(255,255,255)" stroke="none" d="M 16100,5000 L 13100,5000 13100,4000 19100,4000 19100,5000 16100,5000 Z"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 16100,5000 L 13100,5000 13100,4000 19100,4000 19100,5000 16100,5000 Z"/>
+        <text class="TextShape"><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="14938" y="4721"><tspan fill="rgb(0,0,0)" stroke="none">par goto</tspan></tspan></tspan></text>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id5">
+        <rect class="BoundingBox" stroke="none" fill="none" x="15950" y="2999" width="301" height="1002"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M 16000,3000 L 16000,3500 16100,3500 16100,3570"/>
+        <path fill="rgb(52,101,164)" stroke="none" d="M 16100,4000 L 16250,3550 15950,3550 16100,4000 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.CustomShape">
+       <g id="id6">
+        <rect class="BoundingBox" stroke="none" fill="none" x="11599" y="7030" width="8003" height="9803"/>
+        <path fill="rgb(255,255,255)" stroke="none" d="M 15600,16831 L 11600,16831 11600,7031 19600,7031 19600,16831 15600,16831 Z"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 15600,16831 L 11600,16831 11600,7031 19600,7031 19600,16831 15600,16831 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.CustomShape">
+       <g id="id7">
+        <rect class="BoundingBox" stroke="none" fill="none" x="10999" y="7999" width="8003" height="9703"/>
+        <path fill="rgb(255,255,255)" stroke="none" d="M 15000,17700 L 11000,17700 11000,8000 19000,8000 19000,17700 15000,17700 Z"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 15000,17700 L 11000,17700 11000,8000 19000,8000 19000,17700 15000,17700 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.CustomShape">
+       <g id="id8">
+        <rect class="BoundingBox" stroke="none" fill="none" x="10499" y="8999" width="8003" height="9903"/>
+        <path fill="rgb(255,255,255)" stroke="none" d="M 14500,18900 L 10500,18900 10500,9000 18500,9000 18500,18900 14500,18900 Z"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 14500,18900 L 10500,18900 10500,9000 18500,9000 18500,18900 14500,18900 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.CustomShape">
+       <g id="id9">
+        <rect class="BoundingBox" stroke="none" fill="none" x="9899" y="9999" width="8003" height="10003"/>
+        <path fill="rgb(255,255,255)" stroke="none" d="M 13900,20000 L 9900,20000 9900,10000 17900,10000 17900,20000 13900,20000 Z"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 13900,20000 L 9900,20000 9900,10000 17900,10000 17900,20000 13900,20000 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id10">
+        <rect class="BoundingBox" stroke="none" fill="none" x="15561" y="4999" width="541" height="2033"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 16100,5000 L 15703,6613"/>
+        <path fill="rgb(0,0,0)" stroke="none" d="M 15600,7031 L 15853,6630 15562,6558 15600,7031 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id11">
+        <rect class="BoundingBox" stroke="none" fill="none" x="15000" y="4999" width="1102" height="3002"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 16100,5000 L 15148,7596"/>
+        <path fill="rgb(0,0,0)" stroke="none" d="M 15000,8000 L 15296,7629 15014,7526 15000,8000 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id12">
+        <rect class="BoundingBox" stroke="none" fill="none" x="14500" y="4999" width="1602" height="4002"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M 16100,5000 L 14660,8601"/>
+        <path fill="rgb(52,101,164)" stroke="none" d="M 14500,9000 L 14806,8638 14528,8526 14500,9000 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id13">
+        <rect class="BoundingBox" stroke="none" fill="none" x="13900" y="4999" width="2202" height="5002"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 16100,5000 L 14073,9606"/>
+        <path fill="rgb(0,0,0)" stroke="none" d="M 13900,10000 L 14219,9649 13944,9528 13900,10000 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.CustomShape">
+       <g id="id14">
+        <rect class="BoundingBox" stroke="none" fill="none" x="9499" y="10999" width="8003" height="10003"/>
+        <path fill="rgb(255,255,255)" stroke="none" d="M 13500,21000 L 9500,21000 9500,11000 17500,11000 17500,21000 13500,21000 Z"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 13500,21000 L 9500,21000 9500,11000 17500,11000 17500,21000 13500,21000 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id15">
+        <rect class="BoundingBox" stroke="none" fill="none" x="13500" y="4999" width="2602" height="6002"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 16100,5000 L 13671,10605"/>
+        <path fill="rgb(0,0,0)" stroke="none" d="M 13500,11000 L 13817,10647 13541,10527 13500,11000 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id16">
+        <rect class="BoundingBox" stroke="none" fill="none" x="17499" y="15999" width="3" height="3"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M 17500,16000 L 17500,16000 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id17">
+        <rect class="BoundingBox" stroke="none" fill="none" x="17499" y="15999" width="3" height="3"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M 17500,16000 L 17500,16000 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.TextShape">
+       <g id="id18">
+        <rect class="BoundingBox" stroke="none" fill="none" x="11954" y="11977" width="4301" height="1024"/>
+        <text class="TextShape"><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="12204" y="12678"><tspan fill="rgb(0,0,0)" stroke="none">●</tspan><tspan fill="rgb(0,0,0)" stroke="none">Pick Right</tspan></tspan></tspan></text>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.TextShape">
+       <g id="id19">
+        <rect class="BoundingBox" stroke="none" fill="none" x="12000" y="14000" width="4301" height="1001"/>
+        <text class="TextShape"><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="12250" y="14701"><tspan fill="rgb(0,0,0)" stroke="none">●</tspan><tspan fill="rgb(0,0,0)" stroke="none">Eat</tspan></tspan></tspan></text>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.TextShape">
+       <g id="id20">
+        <rect class="BoundingBox" stroke="none" fill="none" x="12024" y="13015" width="4301" height="1301"/>
+        <text class="TextShape"><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="12274" y="13716"><tspan fill="rgb(0,0,0)" stroke="none">●</tspan><tspan fill="rgb(0,0,0)" stroke="none">Pick Leftt</tspan></tspan></tspan></text>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.TextShape">
+       <g id="id21">
+        <rect class="BoundingBox" stroke="none" fill="none" x="11938" y="14938" width="4301" height="1301"/>
+        <text class="TextShape"><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="12188" y="15639"><tspan fill="rgb(0,0,0)" stroke="none">●</tspan><tspan fill="rgb(0,0,0)" stroke="none">Put Right</tspan></tspan></tspan></text>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.TextShape">
+       <g id="id22">
+        <rect class="BoundingBox" stroke="none" fill="none" x="12000" y="16000" width="4301" height="1301"/>
+        <text class="TextShape"><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="12250" y="16701"><tspan fill="rgb(0,0,0)" stroke="none">●</tspan><tspan fill="rgb(0,0,0)" stroke="none">Put Left</tspan></tspan></tspan></text>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.TextShape">
+       <g id="id23">
+        <rect class="BoundingBox" stroke="none" fill="none" x="12000" y="17000" width="4301" height="1301"/>
+        <text class="TextShape"><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="12250" y="17701"><tspan fill="rgb(0,0,0)" stroke="none">●</tspan><tspan fill="rgb(0,0,0)" stroke="none">Think</tspan></tspan></tspan></text>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.LineShape">
+       <g id="id24">
+        <rect class="BoundingBox" stroke="none" fill="none" x="11068" y="11999" width="301" height="2402"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M 11218,12000 L 11218,13970"/>
+        <path fill="rgb(52,101,164)" stroke="none" d="M 11218,14400 L 11368,13950 11068,13950 11218,14400 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id25">
+        <rect class="BoundingBox" stroke="none" fill="none" x="14104" y="11540" width="2798" height="7104"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M 14150,18300 C 14150,19050 16900,18801 16900,15139 16900,11478 15038,11435 14353,11680"/>
+        <path fill="rgb(52,101,164)" stroke="none" d="M 14104,11977 L 14515,11740 14291,11541 14104,11977 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.TextShape">
+       <g id="id26">
+        <rect class="BoundingBox" stroke="none" fill="none" x="7900" y="5900" width="6801" height="1098"/>
+        <text class="TextShape"><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="8150" y="6601"><tspan fill="rgb(0,0,0)" stroke="none">Pherosoher Threads</tspan></tspan></tspan></text>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.TextShape">
+       <g id="id27">
+        <rect class="BoundingBox" stroke="none" fill="none" x="10000" y="14300" width="2057" height="1674"/>
+        <text class="TextShape"><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="10250" y="15001"><tspan fill="rgb(0,0,0)" stroke="none">Go to </tspan></tspan><tspan class="TextPosition" x="10250" y="15712"><tspan fill="rgb(0,0,0)" stroke="none">meta</tspan></tspan></tspan></text>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.LineShape">
+       <g id="id28">
+        <rect class="BoundingBox" stroke="none" fill="none" x="10950" y="15999" width="301" height="2102"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M 11100,16000 L 11100,17670"/>
+        <path fill="rgb(52,101,164)" stroke="none" d="M 11100,18100 L 11250,17650 10950,17650 11100,18100 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id29">
+        <rect class="BoundingBox" stroke="none" fill="none" x="4700" y="6499" width="10852" height="3555"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M 15550,6500 L 5109,9916"/>
+        <path fill="rgb(52,101,164)" stroke="none" d="M 4700,10050 L 5174,10053 5081,9768 4700,10050 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.CustomShape">
+       <g id="id30">
+        <rect class="BoundingBox" stroke="none" fill="none" x="2199" y="4999" width="2503" height="10103"/>
+        <path fill="rgb(255,255,255)" stroke="none" d="M 3450,15100 L 2200,15100 2200,5000 4700,5000 4700,15100 3450,15100 Z"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 3450,15100 L 2200,15100 2200,5000 4700,5000 4700,15100 3450,15100 Z"/>
+        <text class="TextShape"><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="2703" y="8531"><tspan fill="rgb(0,0,0)" stroke="none">fork</tspan><tspan font-family="MS Pゴシック, sans-serif" fill="rgb(0,0,0)" stroke="none">1</tspan></tspan></tspan><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="2745" y="9242"><tspan fill="rgb(0,0,0)" stroke="none">fork2</tspan></tspan></tspan><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="2745" y="9953"><tspan fill="rgb(0,0,0)" stroke="none">fork3</tspan></tspan></tspan><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="2745" y="10664"><tspan fill="rgb(0,0,0)" stroke="none">fork4</tspan></tspan></tspan><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="2745" y="11375"><tspan fill="rgb(0,0,0)" stroke="none">fork5</tspan></tspan></tspan><tspan class="TextParagraph" font-family="MS Pゴシック, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="3239" y="12056"><tspan fill="rgb(0,0,0)" stroke="none"> </tspan></tspan></tspan></text>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.TextShape">
+       <g id="id31">
+        <rect class="BoundingBox" stroke="none" fill="none" x="884" y="3100" width="6417" height="963"/>
+        <text class="TextShape"><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="1134" y="3801"><tspan fill="rgb(0,0,0)" stroke="none">Syncrhonized Queue</tspan></tspan></tspan></text>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id32">
+        <rect class="BoundingBox" stroke="none" fill="none" x="4700" y="7999" width="10302" height="2112"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M 15000,8000 L 5122,9966"/>
+        <path fill="rgb(52,101,164)" stroke="none" d="M 4700,10050 L 5171,10109 5112,9815 4700,10050 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id33">
+        <rect class="BoundingBox" stroke="none" fill="none" x="4700" y="10050" width="7256" height="2440"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M 11954,12488 L 5108,10187"/>
+        <path fill="rgb(52,101,164)" stroke="none" d="M 4700,10050 L 5079,10336 5174,10051 4700,10050 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id34">
+        <rect class="BoundingBox" stroke="none" fill="none" x="4700" y="9897" width="9202" height="302"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M 13900,10000 L 5130,10048"/>
+        <path fill="rgb(52,101,164)" stroke="none" d="M 4700,10050 L 5151,10198 5149,9898 4700,10050 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id35">
+        <rect class="BoundingBox" stroke="none" fill="none" x="4700" y="8999" width="9802" height="1154"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M 14500,9000 L 5128,10004"/>
+        <path fill="rgb(52,101,164)" stroke="none" d="M 4700,10050 L 5163,10151 5131,9853 4700,10050 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.TextShape">
+       <g id="id36">
+        <rect class="BoundingBox" stroke="none" fill="none" x="4800" y="7400" width="7401" height="1401"/>
+        <text class="TextShape"><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="5050" y="8101"><tspan fill="rgb(0,0,0)" stroke="none">Cheack and  Set</tspan></tspan></tspan></text>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.CustomShape">
+       <g id="id37">
+        <rect class="BoundingBox" stroke="none" fill="none" x="1599" y="20299" width="6903" height="6803"/>
+        <path fill="rgb(255,255,255)" stroke="none" d="M 5050,27100 L 1600,27100 1600,20300 8500,20300 8500,27100 5050,27100 Z"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 5050,27100 L 1600,27100 1600,20300 8500,20300 8500,27100 5050,27100 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id38">
+        <rect class="BoundingBox" stroke="none" fill="none" x="7078" y="15135" width="2924" height="10266"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M 10000,15136 L 7217,24986"/>
+        <path fill="rgb(52,101,164)" stroke="none" d="M 7100,25400 L 7367,25008 7078,24926 7100,25400 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id39">
+        <rect class="BoundingBox" stroke="none" fill="none" x="5049" y="27099" width="3" height="3"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M 5050,27100 L 5050,27100 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.CustomShape">
+       <g id="id40">
+        <rect class="BoundingBox" stroke="none" fill="none" x="-10501" y="11699" width="6003" height="2203"/>
+        <path fill="rgb(114,159,207)" stroke="none" d="M -7500,13900 L -10500,13900 -10500,11700 -4500,11700 -4500,13900 -7500,13900 Z"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M -7500,13900 L -10500,13900 -10500,11700 -4500,11700 -4500,13900 -7500,13900 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.CustomShape">
+       <g id="id41">
+        <rect class="BoundingBox" stroke="none" fill="none" x="3699" y="21299" width="4203" height="1603"/>
+        <path fill="rgb(255,255,255)" stroke="none" d="M 5800,22900 L 3700,22900 3700,21300 7900,21300 7900,22900 5800,22900 Z"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 5800,22900 L 3700,22900 3700,21300 7900,21300 7900,22900 5800,22900 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.CustomShape">
+       <g id="id42">
+        <rect class="BoundingBox" stroke="none" fill="none" x="2999" y="22099" width="4203" height="1603"/>
+        <path fill="rgb(255,255,255)" stroke="none" d="M 5100,23700 L 3000,23700 3000,22100 7200,22100 7200,23700 5100,23700 Z"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 5100,23700 L 3000,23700 3000,22100 7200,22100 7200,23700 5100,23700 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.CustomShape">
+       <g id="id43">
+        <rect class="BoundingBox" stroke="none" fill="none" x="1799" y="22399" width="4203" height="1603"/>
+        <path fill="rgb(255,255,255)" stroke="none" d="M 3900,24000 L 1800,24000 1800,22400 6000,22400 6000,24000 3900,24000 Z"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 3900,24000 L 1800,24000 1800,22400 6000,22400 6000,24000 3900,24000 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.CustomShape">
+       <g id="id44">
+        <rect class="BoundingBox" stroke="none" fill="none" x="1799" y="23799" width="4203" height="1603"/>
+        <path fill="rgb(255,255,255)" stroke="none" d="M 3900,25400 L 1800,25400 1800,23800 6000,23800 6000,25400 3900,25400 Z"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 3900,25400 L 1800,25400 1800,23800 6000,23800 6000,25400 3900,25400 Z"/>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.CustomShape">
+       <g id="id45">
+        <rect class="BoundingBox" stroke="none" fill="none" x="2899" y="24599" width="4203" height="1603"/>
+        <path fill="rgb(255,255,255)" stroke="none" d="M 5000,26200 L 2900,26200 2900,24600 7100,24600 7100,26200 5000,26200 Z"/>
+        <path fill="none" stroke="rgb(0,0,0)" d="M 5000,26200 L 2900,26200 2900,24600 7100,24600 7100,26200 5000,26200 Z"/>
+        <text class="TextShape"><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="3133" y="25621"><tspan fill="rgb(0,0,0)" stroke="none">Memory Tree</tspan></tspan></tspan></text>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.TextShape">
+       <g id="id46">
+        <rect class="BoundingBox" stroke="none" fill="none" x="2700" y="18200" width="4701" height="1674"/>
+        <text class="TextShape"><tspan class="TextParagraph" font-family="Liberation Sans, sans-serif" font-size="635px" font-weight="400"><tspan class="TextPosition" x="2950" y="18901"><tspan fill="rgb(0,0,0)" stroke="none">State.DB</tspan></tspan></tspan></text>
+       </g>
+      </g>
+      <g class="com.sun.star.drawing.ConnectorShape">
+       <g id="id47">
+        <rect class="BoundingBox" stroke="none" fill="none" x="7099" y="16000" width="4002" height="9402"/>
+        <path fill="none" stroke="rgb(52,101,164)" d="M 7100,25400 L 10932,16396"/>
+        <path fill="rgb(52,101,164)" stroke="none" d="M 11100,16000 L 10786,16355 11062,16473 11100,16000 Z"/>
+       </g>
+      </g>
+     </g>
+    </g>
+   </g>
+  </g>
+ </g>
+</svg>
\ No newline at end of file
Binary file fig/model_checkings_shirink.jpg has changed
Binary file fig/model_checkings_shirink.odg has changed
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/fig/u-ryukyu-Mark.eps	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,1 @@
+%!PS-Adobe-3.0 EPSF-3.0
%%Creator: Adobe Illustrator(TM) 6.0
%%For: (\216\251\227\247\225\252\216U\203V\203X\203e\203\200\214\244\213\206\216\272) ()
%%Title: (u-ryukyu_Mark2.eps)
%%CreationDate: (2/19/01) (2:50 PM)
%%BoundingBox: 1 4 195 200
%%HiResBoundingBox: 1.3735 4.3999 194.5 199.3999
%%DocumentProcessColors: Black
%%DocumentSuppliedResources: procset Adobe_level2_AI5 1.2 0
%%+ procset Adobe_ColorImage_AI6 1.1 0
%%+ procset Adobe_Illustrator_AI5 1.0 0
%AI5_FileFormat 3
%AI3_ColorUsage: Black&White
%AI3_IncludePlacedImages
%%CMYKCustomColor: 1 0 0.55 0 (Aqua)
%%+ 1 0.5 0 0 (Blue)
%%+ 0.5 0.4 0.3 0 (Blue Gray)
%%+ 0.8 0.05 0 0 (Blue Sky)
%%+ 0.5 0.85 1 0 (Brown)
%%+ 1 0.9 0.1 0 (Dark Blue)
%%+ 1 0.55 1 0 (Forest Green)
%%+ 0.05 0.2 0.95 0 (Gold)
%%+ 0.75 0.05 1 0 (Grass Green)
%%+ 0 0.45 1 0 (Orange)
%%+ 0.15 1 1 0 (Red)
%%+ 0.45 0.9 0 0 (Violet)
%%AI6_ColorSeparationSet: 1 1 (AI6 Default Color Separation Set) 
%%+ Options: 1 16 0 1 0 1 1 1 0 1 1 1 1 8.504 0 0 0 0 0 0 0 0 -1 -1
%%+ PPD: 1 21 0 0 60 45 2 2 1 0 0 1 0 0 0 0 0 0 0 0 0 0 () 
%AI3_TemplateBox: 100 102 100 102
%AI3_TileBox: 0 0 538 781
%AI3_DocumentPreview: Header
%AI5_ArtSize: 612 792
%AI5_RulerUnits: 1
%AI5_ArtFlags: 1 0 0 1 0 0 1 0 0
%AI5_TargetResolution: 800
%AI5_NumLayers: 1
%AI5_OpenToView: -284 390 1 794 557 18 0 1 3 40 0 0
%AI5_OpenViewLayers: 7
%%PageOrigin:0 0
%%AI3_PaperRect:-28 811 567 -31
%%AI3_Margin:28 -30 -29 31
%AI7_GridSettings: 72 8 72 8 1 0 0.8 0.8 0.8 0.9 0.9 0.9
%%EndComments
%%BeginProlog
%%BeginResource: procset Adobe_level2_AI5 1.2 0
%%Title: (Adobe Illustrator (R) Version 5.0 Level 2 Emulation)
%%Version: 1.2 0
%%CreationDate: (04/10/93) ()
%%Copyright: ((C) 1987-1996 Adobe Systems Incorporated All Rights Reserved)
userdict /Adobe_level2_AI5 25 dict dup begin
	put
	/packedarray where not
	{
		userdict begin
		/packedarray
		{
			array astore readonly
		} bind def
		/setpacking /pop load def
		/currentpacking false def
	 end
		0
	} if
	pop
	userdict /defaultpacking currentpacking put true setpacking
	/initialize
	{
		Adobe_level2_AI5 begin
	} bind def
	/terminate
	{
		currentdict Adobe_level2_AI5 eq
		{
		 end
		} if
	} bind def
	mark
	/setcustomcolor where not
	{
		/findcmykcustomcolor
		{
			0
			6 packedarray
		} bind def
		/findrgbcustomcolor
		{
			1
			5 packedarray
		} bind def
		/setcustomcolor
		{
			exch 
			aload pop 
			0 eq
			{
				pop
				4
				{
					4 index mul
					4 1 roll
				} repeat
				5 -1 roll pop
				setcmykcolor
			}
			{
				pop
				3
				{
					1 exch sub
					3 index mul 
					1 exch sub
					3 1 roll
				} repeat
				4 -1 roll pop
				setrgbcolor
			} ifelse
		}
		def
	} if
	
	/gt38? mark {version cvr cvx exec} stopped {cleartomark true} {38 gt exch pop} ifelse def
	userdict /deviceDPI 72 0 matrix defaultmatrix dtransform dup mul exch dup mul add sqrt put
	userdict /level2?
	systemdict /languagelevel known dup
	{
		pop systemdict /languagelevel get 2 ge
	} if
	put
/level2ScreenFreq
{
 begin
		60
		HalftoneType 1 eq
		{
			pop Frequency
		} if
		HalftoneType 2 eq
		{
			pop GrayFrequency
		} if
		HalftoneType 5 eq
		{
			pop Default level2ScreenFreq
		} if
 end
} bind def
userdict /currentScreenFreq  
	level2? {currenthalftone level2ScreenFreq} {currentscreen pop pop} ifelse put
level2? not
	{
		/setcmykcolor where not
		{
			/setcmykcolor
			{
				exch .11 mul add exch .59 mul add exch .3 mul add
				1 exch sub setgray
			} def
		} if
		/currentcmykcolor where not
		{
			/currentcmykcolor
			{
				0 0 0 1 currentgray sub
			} def
		} if
		/setoverprint where not
		{
			/setoverprint /pop load def
		} if
		/selectfont where not
		{
			/selectfont
			{
				exch findfont exch
				dup type /arraytype eq
				{
					makefont
				}
				{
					scalefont
				} ifelse
				setfont
			} bind def
		} if
		/cshow where not
		{
			/cshow
			{
				[
				0 0 5 -1 roll aload pop
				] cvx bind forall
			} bind def
		} if
	} if
	cleartomark
	/anyColor?
	{
		add add add 0 ne
	} bind def
	/testColor
	{
		gsave
		setcmykcolor currentcmykcolor
		grestore
	} bind def
	/testCMYKColorThrough
	{
		testColor anyColor?
	} bind def
	userdict /composite?
	level2?
	{
		gsave 1 1 1 1 setcmykcolor currentcmykcolor grestore
		add add add 4 eq
	}
	{
		1 0 0 0 testCMYKColorThrough
		0 1 0 0 testCMYKColorThrough
		0 0 1 0 testCMYKColorThrough
		0 0 0 1 testCMYKColorThrough
		and and and
	} ifelse
	put
	composite? not
	{
		userdict begin
		gsave
		/cyan? 1 0 0 0 testCMYKColorThrough def
		/magenta? 0 1 0 0 testCMYKColorThrough def
		/yellow? 0 0 1 0 testCMYKColorThrough def
		/black? 0 0 0 1 testCMYKColorThrough def
		grestore
		/isCMYKSep? cyan? magenta? yellow? black? or or or def
		/customColor? isCMYKSep? not def
	 end
	} if
 end defaultpacking setpacking
%%EndResource
%%BeginProcSet: Adobe_ColorImage_AI6 1.1 0
userdict /Adobe_ColorImage_AI6 known not
{
	userdict /Adobe_ColorImage_AI6 24 dict put 
} if
userdict /Adobe_ColorImage_AI6 get begin
/initialize
{ 
	Adobe_ColorImage_AI6 begin
	Adobe_ColorImage_AI6
	{
		dup type /arraytype eq
		{
			dup xcheck
			{
				bind
			} if
		} if
		pop pop
	} forall
} def
/terminate { end } def
currentdict /Adobe_ColorImage_AI6_Vars known not
{
	/Adobe_ColorImage_AI6_Vars 15 dict def
} if
Adobe_ColorImage_AI6_Vars begin
	/channelcount 0 def
	/sourcecount 0 def
	/sourcearray 4 array def
	/plateindex -1 def
	/XIMask 0 def
	/XIBinary 0 def
	/XIChannelCount 0 def
	/XIBitsPerPixel 0 def
	/XIImageHeight 0 def
	/XIImageWidth 0 def
	/XIImageMatrix null def
	/XIBuffer null def
	/XIDataProc null def
	/XIVersion 6 def
end
/WalkRGBString null def
/WalkCMYKString null def
/StuffRGBIntoGrayString null def
/RGBToGrayImageProc null def
/StuffCMYKIntoGrayString null def
/CMYKToGrayImageProc null def
/ColorImageCompositeEmulator null def
/SeparateCMYKImageProc null def
/FourEqual null def
/TestPlateIndex null def
currentdict /_colorimage known not
{
	/colorimage where
	{
		/colorimage get /_colorimage exch def
	}
	{
		/_colorimage null def
	} ifelse
} if
/_currenttransfer systemdict /currenttransfer get def
/colorimage null def
/XI null def
/WalkRGBString
{
	0 3 index
	dup length 1 sub 0 3 3 -1 roll
	{
		3 getinterval { } forall
		5 index exec
		3 index
	} for
	
	 5 { pop } repeat
} def
/WalkCMYKString
{
	0 3 index
	dup length 1 sub 0 4 3 -1 roll
	{
		4 getinterval { } forall
		
		6 index exec
		
		3 index
		
	} for
	
	5 { pop } repeat
	
} def
/StuffRGBIntoGrayString
{
	.11 mul exch
	
	.59 mul add exch
	
	.3 mul add
	
	cvi 3 copy put
	
	pop 1 add
} def
/RGBToGrayImageProc
{	
	Adobe_ColorImage_AI6_Vars begin 
		sourcearray 0 get exec
		dup length 3 idiv string
		dup 3 1 roll 
		
		/StuffRGBIntoGrayString load exch
		WalkRGBString
 end
} def
/StuffCMYKIntoGrayString
{
	exch .11 mul add
	
	exch .59 mul add
	
	exch .3 mul add
	
	dup 255 gt { pop 255 } if
	
	255 exch sub cvi 3 copy put
	
	pop 1 add
} def
/CMYKToGrayImageProc
{	
	Adobe_ColorImage_AI6_Vars begin
		sourcearray 0 get exec
		dup length 4 idiv string
		dup 3 1 roll 
		
		/StuffCMYKIntoGrayString load exch
		WalkCMYKString
 end
} def
/ColorImageCompositeEmulator
{
	pop true eq
	{
		Adobe_ColorImage_AI6_Vars /sourcecount get 5 add { pop } repeat
	}
	{
		Adobe_ColorImage_AI6_Vars /channelcount get 1 ne
		{
			Adobe_ColorImage_AI6_Vars begin
				sourcearray 0 3 -1 roll put
			
				channelcount 3 eq 
				{ 
					/RGBToGrayImageProc 
				}
				{ 
					/CMYKToGrayImageProc
				} ifelse
				load
		 end
		} if
		image
	} ifelse
} def
/SeparateCMYKImageProc
{	
	Adobe_ColorImage_AI6_Vars begin
		sourcecount 0 ne
		{
			sourcearray plateindex get exec
		}
		{			
			sourcearray 0 get exec
			
			dup length 4 idiv string
			
			0 2 index
			
			plateindex 4 2 index length 1 sub
			{
				get 255 exch sub
				
				3 copy put pop 1 add
				
				2 index
			} for
			pop pop exch pop
		} ifelse
 end
} def
	
/FourEqual
{
	4 index ne
	{
		pop pop pop false
	}
	{
		4 index ne
		{
			pop pop false
		}
		{
			4 index ne
			{
				pop false
			}
			{
				4 index eq
			} ifelse
		} ifelse
	} ifelse
} def
/TestPlateIndex
{
	Adobe_ColorImage_AI6_Vars begin
		/plateindex -1 def
		/setcmykcolor where
		{
			pop
			gsave
			1 0 0 0 setcmykcolor systemdict /currentgray get exec 1 exch sub
			0 1 0 0 setcmykcolor systemdict /currentgray get exec 1 exch sub
			0 0 1 0 setcmykcolor systemdict /currentgray get exec 1 exch sub
			0 0 0 1 setcmykcolor systemdict /currentgray get exec 1 exch sub
			grestore
			1 0 0 0 FourEqual 
			{ 
				/plateindex 0 def
			}
			{
				0 1 0 0 FourEqual
				{ 
					/plateindex 1 def
				}
				{
					0 0 1 0 FourEqual
					{
						/plateindex 2 def
					}
					{
						0 0 0 1 FourEqual
						{ 
							/plateindex 3 def
						}
						{
							0 0 0 0 FourEqual
							{
								/plateindex 5 def
							} if
						} ifelse
					} ifelse
				} ifelse
			} ifelse
			pop pop pop pop
		} if
		plateindex
 end
} def
/colorimage
{
	Adobe_ColorImage_AI6_Vars begin
		/channelcount 1 index def
		/sourcecount 2 index 1 eq { channelcount 1 sub } { 0 } ifelse def
		4 sourcecount add index dup 
		8 eq exch 1 eq or not
 end
	
	{
		/_colorimage load null ne
		{
			_colorimage
		}
		{
			Adobe_ColorImage_AI6_Vars /sourcecount get
			7 add { pop } repeat
		} ifelse
	}
	{
		dup 3 eq
		TestPlateIndex
		dup -1 eq exch 5 eq or or
		{
			/_colorimage load null eq
			{
				ColorImageCompositeEmulator
			}
			{
				dup 1 eq
				{
					pop pop image
				}
				{
					Adobe_ColorImage_AI6_Vars /plateindex get 5 eq
					{
						gsave
						
						0 _currenttransfer exec
						1 _currenttransfer exec
						eq
						{ 0 _currenttransfer exec 0.5 lt }
						{ 0 _currenttransfer exec 1 _currenttransfer exec gt } ifelse
						
						{ { pop 0 } } { { pop 1 } } ifelse
						systemdict /settransfer get exec
					} if
					
					_colorimage
					
					Adobe_ColorImage_AI6_Vars /plateindex get 5 eq
					{
						grestore
					} if
				} ifelse
			} ifelse
		}
		{
			dup 1 eq
			{
				pop pop
				image
			}
			{
				pop pop
				Adobe_ColorImage_AI6_Vars begin
					sourcecount -1 0
					{			
						exch sourcearray 3 1 roll put
					} for
					/SeparateCMYKImageProc load
			 end
				systemdict /image get exec
			} ifelse
		} ifelse
	} ifelse
} def
/XG
{
	pop pop
} def
/XF
{
	13 {pop} repeat
} def
/Xh
{
	Adobe_ColorImage_AI6_Vars begin
		gsave
		/XIMask exch 0 ne def
		/XIImageHeight exch def
		/XIImageWidth exch def
		/XIImageMatrix exch def
		0 0 moveto
		XIImageMatrix concat
		XIImageWidth XIImageHeight scale
		
		XIMask
		{
			/_lp /null ddef
			_fc
			/_lp /imagemask ddef
		}
		if
		/XIVersion 7 def
 end
} def
/XH
{
	Adobe_ColorImage_AI6_Vars begin
		/XIVersion 6 def
		grestore
 end
} def
/XI
{
	Adobe_ColorImage_AI6_Vars begin
		gsave
		/XIMask exch 0 ne def
		/XIBinary exch 0 ne def
		pop
		pop
		/XIChannelCount exch def
		/XIBitsPerPixel exch def
		/XIImageHeight exch def
		/XIImageWidth exch def
		pop pop pop pop
		/XIImageMatrix exch def
		XIBitsPerPixel 1 eq
		{
			XIImageWidth 8 div ceiling cvi
		}
		{
			XIImageWidth XIChannelCount mul
		} ifelse
		/XIBuffer exch string def
		XIBinary
		{
			/XIDataProc { currentfile XIBuffer readstring pop } def
			XIVersion 6 le
			{
				currentfile 128 string readline pop pop
			}
			if
		}
		{
			/XIDataProc { currentfile XIBuffer readhexstring pop } def
		} ifelse
		
		XIVersion 6 le
		{
			0 0 moveto
			XIImageMatrix concat
			XIImageWidth XIImageHeight scale
			XIMask
			{
				/_lp /null ddef
				_fc
				/_lp /imagemask ddef
			} if
		} if
		
		XIMask
		{
			XIImageWidth XIImageHeight
			false
			[ XIImageWidth 0 0 XIImageHeight neg 0 0 ]
			/XIDataProc load
			imagemask
		}
		{
			XIImageWidth XIImageHeight
			XIBitsPerPixel
			[ XIImageWidth 0 0 XIImageHeight neg 0 0 ]
			/XIDataProc load
			
			XIChannelCount 1 eq
			{
				gsave
				0 setgray
				image
				grestore
			}
			{
				false
				XIChannelCount
				colorimage
			} ifelse
		} ifelse
		grestore
 end
} def
end
%%EndProcSet
%%BeginResource: procset Adobe_Illustrator_AI5 1.1 0
%%Title: (Adobe Illustrator (R) Version 5.0 Full Prolog)
%%Version: 1.1 0
%%CreationDate: (3/7/1994) ()
%%Copyright: ((C) 1987-1996 Adobe Systems Incorporated All Rights Reserved)
currentpacking true setpacking
userdict /Adobe_Illustrator_AI5_vars 81 dict dup begin
put
/_eo false def
/_lp /none def
/_pf
{
} def
/_ps
{
} def
/_psf
{
} def
/_pss
{
} def
/_pjsf
{
} def
/_pjss
{
} def
/_pola 0 def
/_doClip 0 def
/cf currentflat def
/_tm matrix def
/_renderStart
[
/e0 /r0 /a0 /o0 /e1 /r1 /a1 /i0
] def
/_renderEnd
[
null null null null /i1 /i1 /i1 /i1
] def
/_render -1 def
/_rise 0 def
/_ax 0 def
/_ay 0 def
/_cx 0 def
/_cy 0 def
/_leading
[
0 0
] def
/_ctm matrix def
/_mtx matrix def
/_sp 16#020 def
/_hyphen (-) def
/_fScl 0 def
/_cnt 0 def
/_hs 1 def
/_nativeEncoding 0 def
/_useNativeEncoding 0 def
/_tempEncode 0 def
/_pntr 0 def
/_tDict 2 dict def
/_wv 0 def
/Tx
{
} def
/Tj
{
} def
/CRender
{
} def
/_AI3_savepage
{
} def
/_gf null def
/_cf 4 array def
/_if null def
/_of false def
/_fc
{
} def
/_gs null def
/_cs 4 array def
/_is null def
/_os false def
/_sc
{
} def
/_pd 1 dict def
/_ed 15 dict def
/_pm matrix def
/_fm null def
/_fd null def
/_fdd null def
/_sm null def
/_sd null def
/_sdd null def
/_i null def
/discardSave null def
/buffer 256 string def
/beginString null def
/endString null def
/endStringLength null def
/layerCnt 1 def
/layerCount 1 def
/perCent (%) 0 get def
/perCentSeen? false def
/newBuff null def
/newBuffButFirst null def
/newBuffLast null def
/clipForward? false def
end
userdict /Adobe_Illustrator_AI5 known not {
	userdict /Adobe_Illustrator_AI5 91 dict put
} if
userdict /Adobe_Illustrator_AI5 get begin
/initialize
{
	Adobe_Illustrator_AI5 dup begin
	Adobe_Illustrator_AI5_vars begin
	discardDict
	{
		bind pop pop
	} forall
	dup /nc get begin
	{
		dup xcheck 1 index type /operatortype ne and
		{
			bind
		} if
		pop pop
	} forall
 end
	newpath
} def
/terminate
{
 end
 end
} def
/_
null def
/ddef
{
	Adobe_Illustrator_AI5_vars 3 1 roll put
} def
/xput
{
	dup load dup length exch maxlength eq
	{
		dup dup load dup
		length 2 mul dict copy def
	} if
	load begin
	def
 end
} def
/npop
{
	{
		pop
	} repeat
} def
/sw
{
	dup length exch stringwidth
	exch 5 -1 roll 3 index mul add
	4 1 roll 3 1 roll mul add
} def
/swj
{
	dup 4 1 roll
	dup length exch stringwidth
	exch 5 -1 roll 3 index mul add
	4 1 roll 3 1 roll mul add
	6 2 roll /_cnt 0 ddef
	{
		1 index eq
		{
			/_cnt _cnt 1 add ddef
		} if
	} forall
	pop
	exch _cnt mul exch _cnt mul 2 index add 4 1 roll 2 index add 4 1 roll pop pop
} def
/ss
{
	4 1 roll
	{
		2 npop
		(0) exch 2 copy 0 exch put pop
		gsave
		false charpath currentpoint
		4 index setmatrix
		stroke
		grestore
		moveto
		2 copy rmoveto
	} exch cshow
	3 npop
} def
/jss
{
	4 1 roll
	{
		2 npop
		(0) exch 2 copy 0 exch put
		gsave
		_sp eq
		{
			exch 6 index 6 index 6 index 5 -1 roll widthshow
			currentpoint
		}
		{
			false charpath currentpoint
			4 index setmatrix stroke
		} ifelse
		grestore
		moveto
		2 copy rmoveto
	} exch cshow
	6 npop
} def
/sp
{
	{
		2 npop (0) exch
		2 copy 0 exch put pop
		false charpath
		2 copy rmoveto
	} exch cshow
	2 npop
} def
/jsp
{
	{
		2 npop
		(0) exch 2 copy 0 exch put
		_sp eq
		{
			exch 5 index 5 index 5 index 5 -1 roll widthshow
		}
		{
			false charpath
		} ifelse
		2 copy rmoveto
	} exch cshow
	5 npop
} def
/pl
{
	transform
	0.25 sub round 0.25 add exch
	0.25 sub round 0.25 add exch
	itransform
} def
/setstrokeadjust where
{
	pop true setstrokeadjust
	/c
	{
		curveto
	} def
	/C
	/c load def
	/v
	{
		currentpoint 6 2 roll curveto
	} def
	/V
	/v load def
	/y
	{
		2 copy curveto
	} def
	/Y
	/y load def
	/l
	{
		lineto
	} def
	/L
	/l load def
	/m
	{
		moveto
	} def
}
{
	/c
	{
		pl curveto
	} def
	/C
	/c load def
	/v
	{
		currentpoint 6 2 roll pl curveto
	} def
	/V
	/v load def
	/y
	{
		pl 2 copy curveto
	} def
	/Y
	/y load def
	/l
	{
		pl lineto
	} def
	/L
	/l load def
	/m
	{
		pl moveto
	} def
} ifelse
/d
{
	setdash
} def
/cf
{
} def
/i
{
	dup 0 eq
	{
		pop cf
	} if
	setflat
} def
/j
{
	setlinejoin
} def
/J
{
	setlinecap
} def
/M
{
	setmiterlimit
} def
/w
{
	setlinewidth
} def
/XR
{
	0 ne
	/_eo exch ddef
} def
/H
{
} def
/h
{
	closepath
} def
/N
{
	_pola 0 eq
	{
		_doClip 1 eq
		{
			_eo {eoclip} {clip} ifelse /_doClip 0 ddef
		} if
		newpath
	}
	{
		/CRender
		{
			N
		} ddef
	} ifelse
} def
/n
{
	N
} def
/F
{
	_pola 0 eq
	{
		_doClip 1 eq
		{
			gsave _pf grestore _eo {eoclip} {clip} ifelse newpath /_lp /none ddef _fc
			/_doClip 0 ddef
		}
		{
			_pf
		} ifelse
	}
	{
		/CRender
		{
			F
		} ddef
	} ifelse
} def
/f
{
	closepath
	F
} def
/S
{
	_pola 0 eq
	{
		_doClip 1 eq
		{
			gsave _ps grestore _eo {eoclip} {clip} ifelse newpath /_lp /none ddef _sc
			/_doClip 0 ddef
		}
		{
			_ps
		} ifelse
	}
	{
		/CRender
		{
			S
		} ddef
	} ifelse
} def
/s
{
	closepath
	S
} def
/B
{
	_pola 0 eq
	{
		_doClip 1 eq
		gsave F grestore
		{
			gsave S grestore _eo {eoclip} {clip} ifelse newpath /_lp /none ddef _sc
			/_doClip 0 ddef
		}
		{
			S
		} ifelse
	}
	{
		/CRender
		{
			B
		} ddef
	} ifelse
} def
/b
{
	closepath
	B
} def
/W
{
	/_doClip 1 ddef
} def
/*
{
	count 0 ne
	{
		dup type /stringtype eq
		{
			pop
		} if
	} if
	newpath
} def
/u
{
} def
/U
{
} def
/q
{
	_pola 0 eq
	{
		gsave
	} if
} def
/Q
{
	_pola 0 eq
	{
		grestore
	} if
} def
/*u
{
	_pola 1 add /_pola exch ddef
} def
/*U
{
	_pola 1 sub /_pola exch ddef
	_pola 0 eq
	{
		CRender
	} if
} def
/D
{
	pop
} def
/*w
{
} def
/*W
{
} def
/`
{
	/_i save ddef
	clipForward?
	{
		nulldevice
	} if
	6 1 roll 4 npop
	concat pop
	userdict begin
	/showpage
	{
	} def
	0 setgray
	0 setlinecap
	1 setlinewidth
	0 setlinejoin
	10 setmiterlimit
	[] 0 setdash
	/setstrokeadjust where {pop false setstrokeadjust} if
	newpath
	0 setgray
	false setoverprint
} def
/~
{
 end
	_i restore
} def
/O
{
	0 ne
	/_of exch ddef
	/_lp /none ddef
} def
/R
{
	0 ne
	/_os exch ddef
	/_lp /none ddef
} def
/g
{
	/_gf exch ddef
	/_fc
	{
		_lp /fill ne
		{
			_of setoverprint
			_gf setgray
			/_lp /fill ddef
		} if
	} ddef
	/_pf
	{
		_fc
		_eo {eofill} {fill} ifelse
	} ddef
	/_psf
	{
		_fc
		ashow
	} ddef
	/_pjsf
	{
		_fc
		awidthshow
	} ddef
	/_lp /none ddef
} def
/G
{
	/_gs exch ddef
	/_sc
	{
		_lp /stroke ne
		{
			_os setoverprint
			_gs setgray
			/_lp /stroke ddef
		} if
	} ddef
	/_ps
	{
		_sc
		stroke
	} ddef
	/_pss
	{
		_sc
		ss
	} ddef
	/_pjss
	{
		_sc
		jss
	} ddef
	/_lp /none ddef
} def
/k
{
	_cf astore pop
	/_fc
	{
		_lp /fill ne
		{
			_of setoverprint
			_cf aload pop setcmykcolor
			/_lp /fill ddef
		} if
	} ddef
	/_pf
	{
		_fc
		_eo {eofill} {fill} ifelse
	} ddef
	/_psf
	{
		_fc
		ashow
	} ddef
	/_pjsf
	{
		_fc
		awidthshow
	} ddef
	/_lp /none ddef
} def
/K
{
	_cs astore pop
	/_sc
	{
		_lp /stroke ne
		{
			_os setoverprint
			_cs aload pop setcmykcolor
			/_lp /stroke ddef
		} if
	} ddef
	/_ps
	{
		_sc
		stroke
	} ddef
	/_pss
	{
		_sc
		ss
	} ddef
	/_pjss
	{
		_sc
		jss
	} ddef
	/_lp /none ddef
} def
/x
{
	/_gf exch ddef
	findcmykcustomcolor
	/_if exch ddef
	/_fc
	{
		_lp /fill ne
		{
			_of setoverprint
			_if _gf 1 exch sub setcustomcolor
			/_lp /fill ddef
		} if
	} ddef
	/_pf
	{
		_fc
		_eo {eofill} {fill} ifelse
	} ddef
	/_psf
	{
		_fc
		ashow
	} ddef
	/_pjsf
	{
		_fc
		awidthshow
	} ddef
	/_lp /none ddef
} def
/X
{
	/_gs exch ddef
	findcmykcustomcolor
	/_is exch ddef
	/_sc
	{
		_lp /stroke ne
		{
			_os setoverprint
			_is _gs 1 exch sub setcustomcolor
			/_lp /stroke ddef
		} if
	} ddef
	/_ps
	{
		_sc
		stroke
	} ddef
	/_pss
	{
		_sc
		ss
	} ddef
	/_pjss
	{
		_sc
		jss
	} ddef
	/_lp /none ddef
} def
/A
{
	pop
} def
/annotatepage
{
userdict /annotatepage 2 copy known {get exec} {pop pop} ifelse
} def
/XT {
	pop pop
} def
/discard
{
	save /discardSave exch store
	discardDict begin
	/endString exch store
	gt38?
	{
		2 add
	} if
	load
	stopped
	pop
 end
	discardSave restore
} bind def
userdict /discardDict 7 dict dup begin
put
/pre38Initialize
{
	/endStringLength endString length store
	/newBuff buffer 0 endStringLength getinterval store
	/newBuffButFirst newBuff 1 endStringLength 1 sub getinterval store
	/newBuffLast newBuff endStringLength 1 sub 1 getinterval store
} def
/shiftBuffer
{
	newBuff 0 newBuffButFirst putinterval
	newBuffLast 0
	currentfile read not
	{
	stop
	} if
	put
} def
0
{
	pre38Initialize
	mark
	currentfile newBuff readstring exch pop
	{
		{
			newBuff endString eq
			{
				cleartomark stop
			} if
			shiftBuffer
		} loop
	}
	{
	stop
	} ifelse
} def
1
{
	pre38Initialize
	/beginString exch store
	mark
	currentfile newBuff readstring exch pop
	{
		{
			newBuff beginString eq
			{
				/layerCount dup load 1 add store
			}
			{
				newBuff endString eq
				{
					/layerCount dup load 1 sub store
					layerCount 0 eq
					{
						cleartomark stop
					} if
				} if
			} ifelse
			shiftBuffer
		} loop
	} if
} def
2
{
	mark
	{
		currentfile buffer readline not
		{
		stop
		} if
		endString eq
		{
			cleartomark stop
		} if
	} loop
} def
3
{
	/beginString exch store
	/layerCnt 1 store
	mark
	{
		currentfile buffer readline not
		{
		stop
		} if
		dup beginString eq
		{
			pop /layerCnt dup load 1 add store
		}
		{
			endString eq
			{
				layerCnt 1 eq
				{
					cleartomark stop
				}
				{
					/layerCnt dup load 1 sub store
				} ifelse
			} if
		} ifelse
	} loop
} def
end
userdict /clipRenderOff 15 dict dup begin
put
{
	/n /N /s /S /f /F /b /B
}
{
	{
		_doClip 1 eq
		{
			/_doClip 0 ddef _eo {eoclip} {clip} ifelse
		} if
		newpath
	} def
} forall
/Tr /pop load def
/Bb {} def
/BB /pop load def
/Bg {12 npop} def
/Bm {6 npop} def
/Bc /Bm load def
/Bh {4 npop} def
end
/Lb
{
	4 npop
	6 1 roll
	pop
	4 1 roll
	pop pop pop
	0 eq
	{
		0 eq
		{
			(%AI5_BeginLayer) 1 (%AI5_EndLayer--) discard
		}
		{
			
			/clipForward? true def
			
			/Tx /pop load def
			/Tj /pop load def
			
			currentdict end clipRenderOff begin begin
		} ifelse
	}
	{
		0 eq
		{
			save /discardSave exch store
		} if
	} ifelse
} bind def
/LB
{
	discardSave dup null ne
	{
		restore
	}
	{
		pop
		clipForward?
		{
			currentdict
		 end
		 end
		 begin
					
			/clipForward? false ddef
		} if
	} ifelse
} bind def
/Pb
{
	pop pop
	0 (%AI5_EndPalette) discard
} bind def
/Np
{
	0 (%AI5_End_NonPrinting--) discard
} bind def
/Ln /pop load def
/Ap
/pop load def
/Ar
{
	72 exch div
	0 dtransform dup mul exch dup mul add sqrt
	dup 1 lt
	{
		pop 1
	} if
	setflat
} def
/Mb
{
	q
} def
/Md
{
} def
/MB
{
	Q
} def
/nc 3 dict def
nc begin
/setgray
{
	pop
} bind def
/setcmykcolor
{
	4 npop
} bind def
/setcustomcolor
{
	2 npop
} bind def
currentdict readonly pop
end
end
setpacking
%%EndResource
%%EndProlog
%%BeginSetup
Adobe_level2_AI5 /initialize get exec
Adobe_ColorImage_AI6 /initialize get exec
Adobe_Illustrator_AI5 /initialize get exec
%AI5_Begin_NonPrinting
Np
%AI3_BeginPattern: (Arrow1.2.out/in)
(Arrow1.2.out/in) 1 1 39.4039 39.4039 [
%AI3_Tile
(0 O 0 R  0.75 0.75 0.375 0 k
 0.75 0.75 0.375 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
1 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
33.9039 15.6187 m
39.4247 20.202 L
39.4247 20.202 L
33.8869 24.6252 L
S
39.2997 20.202 m
24.5706 20.202 l
20.4039 20.4792 20.4039 16.8125 v
20.4039 13.1458 20.4039 12.5625 y
S
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Arrow1.2.side)
(Arrow1.2.side) 1 1 39.404 39.4039 [
%AI3_Tile
(0 O 0 R  0.75 0.75 0.375 0 k
 0.75 0.75 0.375 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
1 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
20.202 20.202 m
39.404 20.202 l
S
33.904 15.6187 m
39.4248 20.202 L
39.4248 20.202 L
33.887 24.6252 L
S
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Bricks)
(Bricks) 1.6 1.6 73.6 73.6 [
%AI3_Tile
(0 O 0 R  0.3 0.85 0.85 0 k
 0.3 0.85 0.85 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
1.6 1.6 m
1.6 73.6 L
73.6 73.6 L
73.6 1.6 L
1.6 1.6 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  1 g
 1 G
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
1.6 70.01 m
73.6 70.01 l
S
1.6 62.809 m
73.6 62.809 L
S
1.6 55.609 m
73.6 55.609 L
S
1.6 48.408 m
73.6 48.408 L
S
1.6 41.208 m
73.6 41.208 L
S
1.6 34.007 m
73.6 34.007 L
S
1.6 26.807 m
73.6 26.807 L
S
1.6 19.606 m
73.6 19.606 L
S
1.6 12.406 m
73.6 12.406 L
S
1.6 5.206 m
73.6 5.206 L
S
70.01 70.01 m
70.01 62.822 l
S
55.61 70.01 m
55.61 62.822 L
S
41.21 70.01 m
41.21 62.822 L
S
26.81 70.01 m
26.81 62.822 L
S
12.41 70.01 m
12.41 62.822 L
S
70.01 55.572 m
70.01 48.385 l
S
55.61 55.572 m
55.61 48.385 L
S
41.21 55.572 m
41.21 48.385 L
S
26.81 55.572 m
26.81 48.385 L
S
12.41 55.572 m
12.41 48.385 L
S
70.01 41.197 m
70.01 34.01 l
S
55.61 41.197 m
55.61 34.01 L
S
41.21 41.197 m
41.21 34.01 L
S
26.81 41.197 m
26.81 34.01 L
S
12.41 41.197 m
12.41 34.01 L
S
70.01 26.822 m
70.01 19.635 l
S
55.61 26.822 m
55.61 19.635 L
S
41.21 26.822 m
41.21 19.635 L
S
26.81 26.822 m
26.81 19.635 L
S
12.41 26.822 m
12.41 19.635 L
S
70.01 12.385 m
70.01 5.197 l
S
55.61 12.385 m
55.61 5.197 L
S
41.21 12.385 m
41.21 5.197 L
S
26.81 12.385 m
26.81 5.197 L
S
12.41 12.385 m
12.41 5.197 L
S
62.797 5.197 m
62.797 1.6 L
S
48.397 5.197 m
48.397 1.6 L
S
33.997 5.197 m
33.997 1.6 L
S
19.597 5.197 m
19.597 1.6 L
S
5.197 5.197 m
5.197 1.6 l
S
62.797 19.635 m
62.797 12.447 L
S
48.397 19.635 m
48.397 12.447 L
S
33.997 19.635 m
33.997 12.447 L
S
19.597 19.635 m
19.597 12.447 L
S
5.197 19.635 m
5.197 12.447 l
S
62.797 34.01 m
62.797 26.822 L
S
48.397 34.01 m
48.397 26.822 L
S
19.597 34.01 m
19.597 26.822 L
S
5.197 34.01 m
5.197 26.822 l
S
62.797 48.385 m
62.797 41.197 L
S
48.397 48.385 m
48.397 41.197 L
S
33.997 48.385 m
33.997 41.197 L
S
19.597 48.385 m
19.597 41.197 L
S
5.197 48.385 m
5.197 41.197 l
S
62.797 62.822 m
62.797 55.635 L
S
48.397 62.822 m
48.397 55.635 L
S
33.997 62.822 m
33.997 55.635 L
S
19.597 62.822 m
19.597 55.635 L
S
5.197 62.822 m
5.197 55.635 l
S
62.797 73.5589 m
62.797 70.072 L
S
48.397 73.5589 m
48.397 70.072 L
S
33.997 73.5589 m
33.997 70.072 L
S
19.597 73.5589 m
19.597 70.072 L
S
5.197 73.5589 m
5.197 70.072 l
S
33.997 34.01 m
33.997 26.822 L
S
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Checks)
(Checks) 1 1 31.3995 31.3995 [
%AI3_Tile
(0 O 0 R  0 0.9 1 0 k
 0 0.9 1 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
1 XR
19.9995 4.8 m
27.5995 4.8 L
27.5995 12.3995 L
19.9995 12.3995 L
19.9995 4.8 L
f
31.3995 27.5995 m
31.3995 31.3995 L
27.5995 31.3995 L
27.5995 27.5995 L
31.3995 27.5995 L
f
19.9995 27.5995 m
19.9995 19.9995 L
27.5995 19.9995 L
27.5995 27.5995 L
19.9995 27.5995 L
f
0 XR
12.3995 12.3995 m
19.9995 12.3995 L
19.9995 19.9995 L
12.3995 19.9995 L
12.3995 12.3995 L
f
1 XR
12.3995 27.5995 m
4.8 27.5995 L
4.8 19.9995 L
12.3995 19.9995 L
12.3995 27.5995 L
f
4.8 12.3995 m
4.8 4.8 L
12.3995 4.8 L
12.3995 12.3995 L
4.8 12.3995 L
f
19.9995 27.5995 m
19.9995 31.3995 L
12.3995 31.3995 L
12.3995 27.5995 L
19.9995 27.5995 L
f
12.3995 4.8 m
12.3995 1 L
19.9995 1 L
19.9995 4.8 L
12.3995 4.8 L
f
4.8 19.9995 m
1 19.9995 L
1 12.3995 L
4.8 12.3995 L
4.8 19.9995 L
f
27.5995 19.9995 m
27.5995 12.3995 L
31.3995 12.3995 L
31.3995 19.9995 L
27.5995 19.9995 L
f
4.8 31.3995 m
1 31.3995 L
1 27.5995 L
4.8 27.5995 L
4.8 31.3995 L
f
27.5995 1 m
31.3995 1 L
31.3995 4.8 L
27.5995 4.8 L
27.5995 1 L
f
1 4.8 m
1 1 L
4.8 1 L
4.8 4.8 L
1 4.8 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0.05 0.2 0 k
 0 0.05 0.2 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
1 XR
4.8 4.8 m
4.8 1 L
12.3995 1 L
12.3995 4.8 L
4.8 4.8 L
f
4.8 12.3995 m
1 12.3995 L
1 4.8 L
4.8 4.8 L
4.8 12.3995 L
f
19.9995 4.8 m
19.9995 1 L
27.5995 1 L
27.5995 4.8 L
19.9995 4.8 L
f
12.3995 12.3995 m
12.3995 4.8 L
19.9995 4.8 L
19.9995 12.3995 L
12.3995 12.3995 L
f
27.5995 4.8 m
31.3995 4.8 L
31.3995 12.3995 L
27.5995 12.3995 L
27.5995 4.8 L
f
12.3995 19.9995 m
4.8 19.9995 L
4.8 12.3995 L
12.3995 12.3995 L
12.3995 19.9995 L
f
4.8 27.5995 m
1 27.5995 L
1 19.9995 L
4.8 19.9995 L
4.8 27.5995 L
f
19.9995 12.3995 m
27.5995 12.3995 L
27.5995 19.9995 L
19.9995 19.9995 L
19.9995 12.3995 L
f
19.9995 19.9995 m
19.9995 27.5995 L
12.3995 27.5995 L
12.3995 19.9995 L
19.9995 19.9995 L
f
27.5995 19.9995 m
31.3995 19.9995 L
31.3995 27.5995 L
27.5995 27.5995 L
27.5995 19.9995 L
f
12.3995 27.5995 m
12.3995 31.3995 L
4.8 31.3995 L
4.8 27.5995 L
12.3995 27.5995 L
f
27.5995 27.5995 m
27.5995 31.3995 L
19.9995 31.3995 L
19.9995 27.5995 L
27.5995 27.5995 L
f
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Confetti)
(Confetti) 4.85 3.617 76.85 75.617 [
%AI3_Tile
(0 O 0 R  1 g
 1 G
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
4.85 3.617 m
4.85 75.617 L
76.85 75.617 L
76.85 3.617 L
4.85 3.617 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 g
 0 G
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
10.6 64.867 m
7.85 62.867 l
S
9.1 8.617 m
6.85 6.867 l
S
78.1 68.617 m
74.85 67.867 l
S
76.85 56.867 m
74.35 55.117 l
S
79.6 51.617 m
76.6 51.617 l
S
76.35 44.117 m
73.6 45.867 l
S
78.6 35.867 m
76.6 34.367 l
S
76.1 23.867 m
73.35 26.117 l
S
78.1 12.867 m
73.85 13.617 l
S
68.35 14.617 m
66.1 12.867 l
S
76.6 30.617 m
73.6 30.617 l
S
62.85 58.117 m
60.956 60.941 l
S
32.85 59.617 m
31.196 62.181 l
S
47.891 64.061 m
49.744 66.742 l
S
72.814 2.769 m
73.928 5.729 l
S
67.976 2.633 m
67.35 5.909 l
S
61.85 27.617 m
59.956 30.441 l
S
53.504 56.053 m
51.85 58.617 l
S
52.762 1.779 m
52.876 4.776 l
S
45.391 5.311 m
47.244 7.992 l
S
37.062 3.375 m
35.639 5.43 l
S
55.165 34.828 m
57.518 37.491 l
S
20.795 3.242 m
22.12 5.193 l
S
14.097 4.747 m
15.008 8.965 l
S
9.736 1.91 m
8.073 4.225 l
S
31.891 5.573 m
32.005 8.571 l
S
12.1 70.367 m
15.6 68.867 l
S
9.35 54.867 m
9.6 58.117 l
S
12.85 31.867 m
14.35 28.117 l
S
10.1 37.367 m
12.35 41.117 l
S
34.1 71.117 m
31.85 68.617 l
S
38.35 71.117 m
41.6 68.367 l
S
55.1 71.117 m
58.35 69.117 l
S
57.35 65.117 m
55.35 61.867 l
S
64.35 66.367 m
69.35 68.617 l
S
71.85 62.867 m
69.35 61.117 l
S
23.6 70.867 m
23.6 67.867 l
S
20.6 65.867 m
17.35 65.367 l
S
24.85 61.367 m
25.35 58.117 l
S
25.85 65.867 m
29.35 66.617 l
S
14.1 54.117 m
16.85 56.117 l
S
12.35 11.617 m
12.6 15.617 l
S
12.1 19.867 m
14.35 22.367 l
S
26.1 9.867 m
23.6 13.367 l
S
34.6 47.117 m
32.1 45.367 l
S
62.6 41.867 m
59.85 43.367 l
S
31.6 35.617 m
27.85 36.367 l
S
36.35 26.117 m
34.35 24.617 l
S
33.85 14.117 m
31.1 16.367 l
S
37.1 9.867 m
35.1 11.117 l
S
34.35 20.867 m
31.35 20.867 l
S
44.6 56.617 m
42.1 54.867 l
S
47.35 51.367 m
44.35 51.367 l
S
44.1 43.867 m
41.35 45.617 l
S
43.35 33.117 m
42.6 30.617 l
S
43.85 23.617 m
41.1 25.867 l
S
44.35 15.617 m
42.35 16.867 l
S
67.823 31.1 m
64.823 31.1 l
S
27.1 32.617 m
29.6 30.867 l
S
31.85 55.117 m
34.85 55.117 l
S
19.6 40.867 m
22.1 39.117 l
S
16.85 35.617 m
19.85 35.617 l
S
20.1 28.117 m
22.85 29.867 l
S
52.1 42.617 m
54.484 44.178 l
S
52.437 50.146 m
54.821 48.325 l
S
59.572 54.133 m
59.35 51.117 l
S
50.185 10.055 m
53.234 9.928 l
S
51.187 15.896 m
53.571 14.075 l
S
58.322 19.883 m
59.445 16.823 l
S
53.1 32.117 m
50.6 30.367 l
S
52.85 24.617 m
49.6 25.617 l
S
61.85 9.117 m
59.1 10.867 l
S
69.35 34.617 m
66.6 36.367 l
S
67.1 23.617 m
65.1 22.117 l
S
24.435 46.055 m
27.484 45.928 l
S
25.437 51.896 m
27.821 50.075 l
S
62.6 47.117 m
65.321 46.575 l
S
19.85 19.867 m
20.35 16.617 l
S
21.85 21.867 m
25.35 22.617 l
S
37.6 62.867 m
41.6 62.117 l
S
38.323 42.1 m
38.823 38.6 l
S
69.35 52.617 m
66.85 53.867 l
S
14.85 62.117 m
18.1 59.367 l
S
9.6 46.117 m
7.1 44.367 l
S
20.6 51.617 m
18.6 50.117 l
S
46.141 70.811 m
47.994 73.492 l
S
69.391 40.561 m
71.244 43.242 l
S
38.641 49.311 m
39.35 52.117 l
S
25.141 16.811 m
25.85 19.617 l
S
36.6 32.867 m
34.6 31.367 l
S
6.1 68.617 m
2.85 67.867 l
S
4.85 56.867 m
2.35 55.117 l
S
7.6 51.617 m
4.6 51.617 l
S
6.6 35.867 m
4.6 34.367 l
S
6.1 12.867 m
1.85 13.617 l
S
4.6 30.617 m
1.6 30.617 l
S
72.814 74.769 m
73.928 77.729 l
S
67.976 74.633 m
67.35 77.909 l
S
52.762 73.779 m
52.876 76.776 l
S
37.062 75.375 m
35.639 77.43 l
S
20.795 75.242 m
22.12 77.193 l
S
9.736 73.91 m
8.073 76.225 l
S
10.1 23.617 m
6.35 24.367 l
S
73.217 18.276 m
71.323 21.1 l
S
28.823 39.6 m
29.505 42.389 l
S
49.6 38.617 m
47.6 37.117 l
S
60.323 73.6 m
62.323 76.6 l
S
60.323 1.6 m
62.323 4.6 l
S
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (DblLine1.2.inner)
(DblLine1.2.inner) 1 1 39.2705 39.2706 [
%AI3_Tile
(0 O 0 R  1 0.14 0.09 0 k
 1 0.14 0.09 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
39.2702 22.175 m
39.2702 13.6108 L
26.66 13.6108 L
26.66 1.0003 L
18.0958 1.0003 L
18.0948 22.175 L
18.0958 22.175 L
18.0958 22.1752 L
39.2702 22.175 L
f
39.2708 24.6929 m
15.5779 24.6929 L
15.5779 1.0003 L
14.9037 1.0003 L
14.9032 25.3675 L
39.2708 25.3675 L
39.2708 24.6929 L
f
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (DblLine1.2.outer)
(DblLine1.2.outer) 1 1.0003 39.2706 39.271 [
%AI3_Tile
(0 O 0 R  1 0.14 0.09 0 k
 1 0.14 0.09 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
39.2708 26.6602 m
13.6111 26.6602 L
13.6111 1.0005 L
22.1751 1 L
22.1751 18.096 L
39.2708 18.096 L
39.2708 26.6602 L
f
39.2708 15.578 m
24.6928 15.578 L
24.6928 1 L
25.367 1 L
25.367 14.9038 L
39.2708 14.9038 L
39.2708 15.578 L
f
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (DblLine1.2.side)
(DblLine1.2.side) 1 1 39.2706 39.2706 [
%AI3_Tile
(0 O 0 R  1 0.14 0.09 0 k
 1 0.14 0.09 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
39.2704 18.0958 m
39.2704 26.6598 L
1.0001 26.6598 L
1.0001 18.0958 L
39.2704 18.0958 L
f
39.2704 14.9037 m
39.2704 15.5776 L
1.0001 15.5776 L
1.0001 14.9037 L
39.2704 14.9037 L
f
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Diamonds)
(Diamonds) 1 1 37.1865 41.9411 [
%AI3_Tile
(0 O 0 R  0.2 0 1 0 k
 0.2 0 1 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
1.0002 1.0004 m
1.0002 41.9411 L
37.1865 41.9411 L
37.1865 1.0004 L
1.0002 1.0004 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 g
 0 G
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
1 XR
19.0936 41.9408 m
19.0929 41.9408 L
19.0933 41.9402 L
19.0936 41.9408 L
f
7.0311 41.9408 m
7.0304 41.9408 L
7.0308 41.9402 L
7.0311 41.9408 L
f
31.1556 41.9408 m
31.1548 41.9408 L
31.1552 41.9402 L
31.1556 41.9408 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0.75 0.9 0 0 k
 0.75 0.9 0 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
1 XR
37.1865 1 m
37.1865 11.2349 L
31.1552 1 L
37.1865 1 L
f
19.0933 1 m
31.1552 1 L
25.124 11.2349 L
19.0933 1 L
f
7.0308 1 m
19.0933 1 L
13.062 11.2349 L
7.0308 1 L
f
1 1 m
7.0308 1 L
1 11.2349 L
1 1 L
f
37.1859 11.2349 m
37.1865 11.236 L
37.1865 31.7059 L
31.1552 21.4704 L
37.1859 11.2349 L
f
19.0933 21.4704 m
25.124 11.2349 L
31.1552 21.4704 L
25.124 31.7059 L
19.0933 21.4704 L
f
7.0308 21.4704 m
13.062 11.2349 L
19.0933 21.4704 L
13.062 31.7059 L
7.0308 21.4704 L
f
1 31.7059 m
1 11.2349 L
7.0308 21.4704 L
1 31.7059 L
f
37.1859 31.7059 m
37.1865 31.707 L
37.1865 41.9408 L
31.1556 41.9408 L
31.1552 41.9402 L
37.1859 31.7059 L
f
25.124 31.7059 m
31.1552 41.9402 L
31.1548 41.9408 L
19.0936 41.9408 L
19.0933 41.9402 L
25.124 31.7059 L
f
13.062 31.7059 m
19.0933 41.9402 L
19.0929 41.9408 L
7.0311 41.9408 L
7.0308 41.9402 L
13.062 31.7059 L
f
7.0304 41.9408 m
1 41.9408 L
1 31.7059 L
7.0308 41.9402 L
7.0304 41.9408 L
f
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Hexagon)
(Hexagon) 4 1.6 70.151 77.983 [
%AI3_Tile
(0 O 0 R  0 1 0.35 0 k
 0 1 0.35 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
70.151 77.983 m
70.151 1.6 L
4 1.6 L
4 77.983 L
70.151 77.983 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0.9921 1 0 0 k
 0.9921 1 0 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
20.538 30.244 m
S
26.05 20.696 m
15.025 20.696 L
9.513 30.244 L
15.025 39.792 L
26.05 39.792 L
31.564 30.244 L
26.05 20.696 L
s
20.537 11.148 m
S
26.05 1.6 m
15.024 1.6 L
9.512 11.148 L
15.024 20.696 L
26.05 20.696 L
31.563 11.148 L
26.05 1.6 L
s
53.614 30.244 m
S
59.126 20.696 m
48.101 20.696 L
42.589 30.244 L
48.101 39.792 L
59.126 39.792 L
64.639 30.244 L
59.126 20.696 L
s
53.614 11.148 m
S
59.126 1.6 m
48.101 1.6 L
42.588 11.148 L
48.101 20.696 L
59.126 20.696 L
64.638 11.148 L
59.126 1.6 L
s
20.538 68.436 m
S
26.051 58.888 m
15.025 58.888 L
9.513 68.436 L
15.025 77.984 L
26.051 77.984 L
31.564 68.436 L
26.051 58.888 L
s
20.538 49.34 m
S
26.051 39.792 m
15.025 39.792 L
9.513 49.34 L
15.025 58.888 L
26.05 58.888 L
31.564 49.34 L
26.051 39.792 L
s
53.614 68.436 m
S
59.127 58.888 m
48.102 58.888 L
42.589 68.436 L
48.101 77.985 L
59.127 77.985 L
64.639 68.436 L
59.127 58.888 L
s
53.614 49.34 m
S
59.127 39.792 m
48.101 39.792 L
42.589 49.34 L
48.101 58.888 L
59.127 58.888 L
64.639 49.341 L
59.127 39.792 L
s
4 20.696 m
S
3.876 30.244 m
9.512 30.244 L
15.024 20.696 L
9.512 11.147 L
3.876 11.147 L
S
37.075 20.696 m
S
42.588 11.148 m
31.563 11.148 L
26.05 20.696 L
31.563 30.244 L
42.589 30.244 L
48.101 20.696 L
42.588 11.148 L
s
37.076 58.888 m
S
42.589 49.34 m
31.564 49.34 L
26.05 58.888 L
31.564 68.436 L
42.589 68.436 L
48.101 58.888 L
42.589 49.34 L
s
70.151 20.696 m
S
70.2094 11.147 m
64.639 11.147 L
59.127 20.696 L
64.639 30.244 L
70.2094 30.244 L
S
70.152 58.888 m
S
70.0427 49.34 m
64.639 49.34 L
59.127 58.888 L
64.639 68.436 L
70.0427 68.436 L
S
4 58.888 m
S
3.876 68.436 m
9.513 68.436 L
15.025 58.888 L
9.513 49.34 L
3.876 49.34 L
S
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Laurel.inner)
(Laurel.inner) 1 1 28.5392 28.5392 [
%AI3_Tile
(0 O 0 R  0 0.55 1 0.12 k
 0 0.55 1 0.12 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
19.2768 15.3585 m
28.9144 15.3585 L
28.9144 14.2335 L
19.2768 14.2335 L
19.2768 15.3585 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0.55 1 0.3 k
 0 0.55 1 0.3 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
14.7461 18.9624 m
13.0264 17.8486 11.3273 14.4193 11.3273 10.0362 c
11.3273 5.6547 12.9768 2.1518 14.744 1.1112 C
14.7443 1.1112 L
16.4707 2.1518 18.1679 5.6547 18.1679 10.0362 c
18.1679 14.4143 16.432 17.8633 14.7461 18.9624 C
f
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Laurel.outer)
(Laurel.outer) 1 1.3751 28.5393 28.9143 [
%AI3_Tile
(0 O 0 R  0 0.55 1 0.12 k
 0 0.55 1 0.12 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
14.2395 10.6375 m
14.2395 1 L
15.3645 1 L
15.3645 10.6375 L
14.2395 10.6375 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0.55 1 0.3 k
 0 0.55 1 0.3 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
10.5769 15.124 m
11.6906 16.8438 15.1198 18.5429 19.503 18.5429 c
23.8844 18.5429 27.3874 16.8935 28.428 15.1262 C
28.428 15.1259 L
27.3874 13.3995 23.8844 11.7023 19.503 11.7023 c
15.1249 11.7023 11.676 13.4382 10.5769 15.124 C
f
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Laurel.side)
(Laurel.side) 1.3972 1 28.9364 28.5392 [
%AI3_Tile
(0 O 0 R  0 0.55 1 0.12 k
 0 0.55 1 0.12 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
29.1571 15.2998 m
1 15.2998 L
1 14.1748 L
29.1571 14.1748 L
29.1571 15.2998 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0.55 1 0.3 k
 0 0.55 1 0.3 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
2.0183 27.4787 m
1.5899 25.4751 2.8132 21.8488 5.9125 18.7494 c
9.0107 15.6513 12.654 14.3407 14.6395 14.8545 C
14.6398 14.8547 L
15.1246 16.8113 13.8478 20.4883 10.7496 23.5865 c
7.6538 26.6824 3.9876 27.8936 2.0183 27.4787 C
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0.39 0.7 0.12 k
 0 0.39 0.7 0.12 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
2.0183 2.0091 m
1.5899 4.0126 2.8132 7.6389 5.9125 10.7382 c
9.0107 13.8365 12.654 15.147 14.6395 14.6332 C
14.6398 14.633 L
15.1246 12.6765 13.8478 8.9993 10.7496 5.9011 c
7.6538 2.8054 3.9876 1.5941 2.0183 2.0091 C
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0.55 1 0.3 k
 0 0.55 1 0.3 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
15.821 2.0091 m
15.3925 4.0126 16.6159 7.6389 19.7152 10.7382 c
22.8134 13.8365 26.4567 15.147 28.4422 14.6332 C
28.4424 14.633 L
28.9273 12.6765 27.6505 8.9993 24.5523 5.9011 c
21.4565 2.8054 17.7903 1.5941 15.821 2.0091 C
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0.39 0.7 0.12 k
 0 0.39 0.7 0.12 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
15.821 27.4787 m
15.3925 25.4751 16.6159 21.8488 19.7152 18.7494 c
22.8134 15.6513 26.4567 14.3407 28.4422 14.8545 C
28.4424 14.8547 L
28.9273 16.8113 27.6505 20.4883 24.5523 23.5865 c
21.4565 26.6824 17.7903 27.8936 15.821 27.4787 C
f
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Leaves-fall)
(Leaves-fall) 1 1 52.733 89.816 [
%AI3_Tile
(0 O 0 R  0.05 0.2 1 0 k
 0.05 0.2 1 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
52.733 89.816 m
52.733 1 L
1 1 L
1 89.816 L
52.733 89.816 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0.83 0 1 0 k
 0.83 0 1 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
1 D
0 XR
25.317 2.083 m
25.994 2.283 26.284 2.435 V
24.815 5.147 29.266 9.428 30.186 10.168 C
30.787 9.943 30.907 7.41 30.23 6.073 C
31.073 6.196 33.262 4.818 34.02 3.529 C
34.085 4.217 35.655 7.158 36.481 7.535 C
35.561 7.933 34.896 9.406 34.134 10.854 C
35.156 11.021 36.555 10.1 38.026 9.195 C
38.541 9.996 39.915 10.968 41.174 11.484 C
40.086 12.171 39.591 12.912 39.094 14.372 C
38.052 13.806 35.865 13.657 35.336 13.944 C
35.85 15.057 38.096 15.6 38.827 15.547 C
38.573 16.409 38.425 18.562 38.598 21.155 C
36.939 19.839 35.393 18.522 33.734 18.58 C
34.003 17.158 33.367 15.353 32.99 14.86 C
32.417 15.604 32.006 16.431 32.361 18.408 C
30.908 18.893 29.671 19.439 28.297 20.697 C
28.297 18.866 27.725 17.664 26.857 16.388 C
28.117 15.9 29.389 14.697 29.385 13.658 C
28.537 13.81 26.845 14.554 26.352 15.547 C
25.634 14.8 23.95 13.491 22.346 13.487 C
23.534 12.632 24.454 11.598 24.549 9.686 C
25.802 10.657 28.255 11.272 29.635 10.674 C
24.794 6.438 25.262 3.405 25.317 2.083 C
f
12.412 33.743 m
11.887 33.272 11.691 33.01 V
14.182 31.192 11.928 25.366 11.415 24.303 C
10.776 24.247 9.369 26.988 9.405 28.486 C
8.273 27.73 6.608 27.851 5.006 28.137 C
5.578 27.049 5.177 25.104 4.376 24.303 C
5.378 24.339 6.729 23.624 8.038 22.643 C
7.203 21.823 5.376 21.984 3.46 22.643 C
3.46 21.27 2.638 19.533 1.801 18.351 C
3.117 18.408 4.262 17.722 5.12 16.691 C
5.785 18.26 7.819 19.373 8.725 19.324 C
8.742 17.959 7.169 15.869 6.147 15.47 C
6.747 14.801 7.766 13.27 8.725 10.854 C
9.524 12.78 10.694 14.022 11.927 14.955 C
10.785 16.517 10.959 17.388 11.358 18.866 C
12.101 18.325 13.132 17.893 13.303 15.89 C
15.02 16.176 16.156 16.104 17.653 15.203 C
17.198 16.865 17.195 18.466 17.515 20.166 C
15.665 20.026 14.105 20.239 13.075 21.728 C
13.905 21.955 16.165 22.014 17.039 21.082 C
17.366 22.064 18.261 23.47 19.707 24.164 C
18.267 24.424 17.282 25.523 16.373 27.209 C
15.66 25.793 13.433 24.128 11.93 24.073 C
13.933 28.137 13.933 31.055 12.412 33.743 C
f
31.125 30.5 m
31.445 31.128 31.648 31.385 V
34.045 29.444 38.851 32.752 39.746 33.521 C
39.636 34.153 37.511 35.29 35.794 34.26 C
36.234 35.549 35.332 37.51 34.134 38.552 C
35.873 38.451 38.019 39.813 38.541 40.555 C
38.763 39.577 39.946 38.307 41.231 37.293 C
41.582 38.266 40.887 40.384 39.971 41.986 C
41.206 42.487 42.318 43.417 42.776 44.676 C
43.233 43.359 44.236 42.685 45.58 41.929 C
44.421 40.502 43.64 38.328 43.92 37.465 C
45.243 37.8 46.814 40.518 46.937 41.607 C
47.812 40.841 49.366 40.154 51.947 39.848 C
50.246 38.77 49.884 36.778 49.3 35.347 C
48.152 35.794 45.983 35.853 45.008 35.29 C
45.721 34.711 47.061 34.16 49.071 34.146 C
49.071 32.601 49.534 31.469 50.788 30.254 C
49.065 30.267 46.965 29.781 45.469 29.389 C
45.221 30.718 44.378 32.314 43.233 32.715 C
43.227 31.854 43.493 29.605 44.378 28.938 C
43.513 28.37 42.26 26.993 41.803 25.276 C
41.181 26.601 40.32 27.906 38.457 28.35 C
39.642 29.403 40.477 31.42 40.143 32.887 C
35.091 28.905 32.414 30.203 31.125 30.5 C
f
25.317 46.491 m
25.994 46.691 26.284 46.843 V
24.815 49.556 29.266 53.837 30.186 54.576 C
30.787 54.351 30.907 51.818 30.23 50.482 C
31.073 50.605 33.262 49.227 34.02 47.938 C
34.085 48.625 35.655 51.566 36.481 51.944 C
35.561 52.341 34.896 53.814 34.134 55.263 C
35.156 55.43 36.555 54.508 38.026 53.603 C
38.541 54.404 39.915 55.377 41.174 55.892 C
40.086 56.579 39.591 57.321 39.094 58.78 C
38.052 58.215 35.865 58.065 35.336 58.353 C
35.85 59.465 38.096 60.008 38.827 59.955 C
38.573 60.817 38.425 62.97 38.598 65.563 C
36.939 64.247 35.393 62.931 33.734 62.988 C
34.003 61.567 33.367 59.761 32.99 59.268 C
32.417 60.012 32.006 60.839 32.361 62.817 C
30.908 63.302 29.671 63.847 28.297 65.106 C
28.297 63.274 27.725 62.073 26.857 60.796 C
28.117 60.308 29.389 59.106 29.385 58.067 C
28.537 58.219 26.845 58.963 26.352 59.955 C
25.634 59.209 23.95 57.899 22.346 57.895 C
23.534 57.041 24.454 56.006 24.549 54.094 C
25.802 55.065 28.255 55.68 29.635 55.083 C
24.794 50.846 25.262 47.814 25.317 46.491 C
f
12.412 78.151 m
11.887 77.68 11.691 77.418 V
14.182 75.601 11.928 69.774 11.415 68.711 C
10.776 68.655 9.369 71.396 9.405 72.894 C
8.273 72.138 6.608 72.259 5.006 72.545 C
5.578 71.458 5.177 69.512 4.376 68.711 C
5.378 68.747 6.729 68.032 8.038 67.052 C
7.203 66.231 5.376 66.393 3.46 67.052 C
3.46 65.678 2.638 63.941 1.801 62.759 C
3.117 62.817 4.262 62.13 5.12 61.1 C
5.785 62.669 7.819 63.781 8.725 63.732 C
8.742 62.367 7.169 60.277 6.147 59.878 C
6.747 59.209 7.766 57.678 8.725 55.263 C
9.524 57.189 10.694 58.431 11.927 59.364 C
10.785 60.925 10.959 61.796 11.358 63.274 C
12.101 62.734 13.132 62.301 13.303 60.298 C
15.02 60.584 16.156 60.512 17.653 59.612 C
17.198 61.273 17.195 62.874 17.515 64.574 C
15.665 64.434 14.105 64.648 13.075 66.136 C
13.905 66.363 16.165 66.422 17.039 65.49 C
17.366 66.472 18.261 67.878 19.707 68.572 C
18.267 68.832 17.282 69.931 16.373 71.617 C
15.66 70.202 13.433 68.536 11.93 68.482 C
13.933 72.545 13.933 75.464 12.412 78.151 C
f
31.125 74.908 m
31.445 75.537 31.648 75.794 V
34.045 73.853 38.851 77.161 39.746 77.929 C
39.636 78.562 37.511 79.698 35.794 78.668 C
36.234 79.957 35.332 81.918 34.134 82.96 C
35.873 82.86 38.019 84.221 38.541 84.963 C
38.763 83.986 39.946 82.716 41.231 81.701 C
41.582 82.675 40.887 84.792 39.971 86.394 C
41.206 86.895 42.318 87.825 42.776 89.084 C
43.233 87.768 44.236 87.093 45.58 86.337 C
44.421 84.91 43.64 82.736 43.92 81.873 C
45.243 82.208 46.814 84.926 46.937 86.016 C
47.812 85.249 49.366 84.563 51.947 84.257 C
50.246 83.179 49.884 81.187 49.3 79.756 C
48.152 80.203 45.983 80.262 45.008 79.698 C
45.721 79.119 47.061 78.569 49.071 78.554 C
49.071 77.009 49.534 75.877 50.788 74.663 C
49.065 74.675 46.965 74.189 45.469 73.798 C
45.221 75.126 44.378 76.723 43.233 77.123 C
43.227 76.262 43.493 74.013 44.378 73.347 C
43.513 72.779 42.26 71.401 41.803 69.684 C
41.181 71.009 40.32 72.314 38.457 72.759 C
39.642 73.812 40.477 75.829 40.143 77.295 C
35.091 73.313 32.414 74.611 31.125 74.908 C
f
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Polka dots)
(Polka dots) 1 1 29.8 29.8 [
%AI3_Tile
(0 O 0 R  0.45 0.9 0 0 k
 0.45 0.9 0 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
1 1 m
1 29.8 L
29.8 29.8 L
29.8 1 L
1 1 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0.09 0.18 0 0 k
 0.09 0.18 0 0 K
) @
(
%AI6_BeginPatternLayer
*u
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
11.08 8.2 m
11.08 9.791 9.79 11.08 8.2 11.08 c
6.609 11.08 5.32 9.791 5.32 8.2 c
5.32 6.61 6.609 5.32 8.2 5.32 c
9.79 5.32 11.08 6.61 11.08 8.2 c
f
11.08 22.6 m
11.08 24.191 9.79 25.48 8.2 25.48 c
6.609 25.48 5.32 24.191 5.32 22.6 c
5.32 21.01 6.609 19.72 8.2 19.72 c
9.79 19.72 11.08 21.01 11.08 22.6 c
f
18.28 15.4 m
18.28 16.991 16.99 18.28 15.4 18.28 c
13.809 18.28 12.52 16.991 12.52 15.4 c
12.52 13.81 13.809 12.52 15.4 12.52 c
16.99 12.52 18.28 13.81 18.28 15.4 c
f
25.48 8.2 m
25.48 9.791 24.19 11.08 22.6 11.08 c
21.009 11.08 19.72 9.791 19.72 8.2 c
19.72 6.61 21.009 5.32 22.6 5.32 c
24.19 5.32 25.48 6.61 25.48 8.2 c
f
25.48 22.6 m
25.48 24.191 24.19 25.48 22.6 25.48 c
21.009 25.48 19.72 24.191 19.72 22.6 c
19.72 21.01 21.009 19.72 22.6 19.72 c
24.19 19.72 25.48 21.01 25.48 22.6 c
f
*U
26.92 1 m
29.8 1 L
29.8 3.88 L
28.209 3.88 26.92 2.591 26.92 1 C
f
15.4 3.88 m
13.809 3.88 12.52 2.591 12.52 1 C
18.28 1 L
18.28 2.591 16.99 3.88 15.4 3.88 c
f
1 3.88 m
1 1 L
3.88 1 L
3.88 2.591 2.59 3.88 1 3.88 C
f
1 XR
26.92 15.4 m
26.92 13.81 28.209 12.52 29.8 12.52 C
29.8 18.28 L
28.209 18.28 26.92 16.991 26.92 15.4 c
f
0 XR
15.4 18.28 m
13.809 18.28 12.52 16.991 12.52 15.4 c
12.52 13.81 13.809 12.52 15.4 12.52 c
16.99 12.52 18.28 13.81 18.28 15.4 c
18.28 16.991 16.99 18.28 15.4 18.28 c
f
1 XR
3.88 15.4 m
3.88 16.991 2.59 18.28 1 18.28 C
1 12.52 L
2.59 12.52 3.88 13.81 3.88 15.4 c
f
0 XR
29.8 26.92 m
29.8 29.8 L
26.92 29.8 L
26.92 28.21 28.209 26.92 29.8 26.92 C
f
15.4 26.92 m
16.99 26.92 18.28 28.21 18.28 29.8 C
12.52 29.8 L
12.52 28.21 13.809 26.92 15.4 26.92 c
f
3.88 29.8 m
1 29.8 L
1 26.92 L
2.59 26.92 3.88 28.21 3.88 29.8 C
f
1 XR
8.2 11.08 m
6.609 11.08 5.32 9.791 5.32 8.2 c
5.32 6.61 6.609 5.32 8.2 5.32 c
9.79 5.32 11.08 6.61 11.08 8.2 c
11.08 9.791 9.79 11.08 8.2 11.08 c
f
22.6 11.08 m
21.009 11.08 19.72 9.791 19.72 8.2 c
19.72 6.61 21.009 5.32 22.6 5.32 c
24.19 5.32 25.48 6.61 25.48 8.2 c
25.48 9.791 24.19 11.08 22.6 11.08 c
f
8.2 25.48 m
6.609 25.48 5.32 24.191 5.32 22.6 c
5.32 21.01 6.609 19.72 8.2 19.72 c
9.79 19.72 11.08 21.01 11.08 22.6 c
11.08 24.191 9.79 25.48 8.2 25.48 c
f
22.6 25.48 m
21.009 25.48 19.72 24.191 19.72 22.6 c
19.72 21.01 21.009 19.72 22.6 19.72 c
24.19 19.72 25.48 21.01 25.48 22.6 c
25.48 24.191 24.19 25.48 22.6 25.48 c
f
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Random circles)
(Random circles) 4.365 3.849 51.13 57.85 [
%AI3_Tile
(0 O 0 R  0 0.1125 0.45 0 k
 0 0.1125 0.45 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
4.365 3.849 m
4.365 57.85 L
51.13 57.85 L
51.13 3.849 L
4.365 3.849 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0.4 0.7 1 0 k
 0.4 0.7 1 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
45.429 36.274 m
45.843 36.991 45.598 37.908 44.88 38.323 c
44.163 38.737 43.245 38.491 42.831 37.774 c
42.417 37.056 42.663 36.139 43.38 35.725 c
44.098 35.31 45.015 35.556 45.429 36.274 c
s
44.179 27.926 m
43.765 28.643 42.848 28.889 42.13 28.475 c
41.413 28.06 41.167 27.143 41.581 26.425 c
41.995 25.708 42.913 25.462 43.63 25.876 c
44.348 26.291 44.593 27.208 44.179 27.926 c
s
35.929 41.024 m
35.515 41.741 34.598 41.987 33.88 41.573 c
33.163 41.158 32.917 40.241 33.331 39.524 c
33.745 38.806 34.663 38.56 35.38 38.975 c
36.098 39.389 36.343 40.306 35.929 41.024 c
s
28.38 34.225 m
28.794 34.942 28.549 35.859 27.831 36.274 c
27.114 36.688 26.196 36.442 25.782 35.725 c
25.368 35.007 25.614 34.09 26.331 33.675 c
27.049 33.261 27.966 33.507 28.38 34.225 c
s
31.179 28.024 m
30.765 28.741 29.848 28.987 29.13 28.573 c
28.413 28.158 28.167 27.241 28.581 26.524 c
28.995 25.806 29.913 25.56 30.63 25.975 c
31.348 26.389 31.593 27.306 31.179 28.024 c
s
36.792 23.349 m
35.963 23.349 35.292 22.678 35.292 21.849 c
35.292 21.021 35.963 20.349 36.792 20.349 c
37.62 20.349 38.292 21.021 38.292 21.849 c
38.292 22.678 37.62 23.349 36.792 23.349 c
s
10.888 34.175 m
10.474 34.893 10.72 35.81 11.437 36.225 c
12.155 36.639 13.072 36.393 13.486 35.675 c
13.901 34.958 13.655 34.041 12.937 33.626 c
12.22 33.212 11.303 33.458 10.888 34.175 c
s
11.517 26.601 m
11.931 27.318 12.848 27.564 13.566 27.15 c
14.283 26.735 14.529 25.818 14.115 25.1 c
13.701 24.383 12.783 24.137 12.066 24.551 c
11.348 24.966 11.103 25.883 11.517 26.601 c
s
16.782 41.426 m
17.196 42.143 18.114 42.389 18.831 41.975 c
19.549 41.56 19.794 40.643 19.38 39.926 c
18.966 39.208 18.049 38.962 17.331 39.377 c
16.614 39.791 16.368 40.708 16.782 41.426 c
s
22.365 24.35 m
23.194 24.35 23.865 23.678 23.865 22.85 c
23.865 22.021 23.194 21.35 22.365 21.35 c
21.537 21.35 20.865 22.021 20.865 22.85 c
20.865 23.678 21.537 24.35 22.365 24.35 c
s
45.385 7.849 m
44.971 7.132 44.053 6.886 43.336 7.3 c
42.619 7.714 42.373 8.632 42.787 9.349 c
43.201 10.067 44.119 10.312 44.836 9.898 c
45.553 9.484 45.799 8.567 45.385 7.849 c
s
29.679 7.774 m
29.265 7.056 28.348 6.81 27.63 7.225 c
26.913 7.639 26.667 8.556 27.081 9.274 c
27.495 9.991 28.413 10.237 29.13 9.823 c
29.848 9.408 30.093 8.491 29.679 7.774 c
s
35.542 11.349 m
34.713 11.349 34.042 12.021 34.042 12.849 c
34.042 13.678 34.713 14.349 35.542 14.349 c
36.37 14.349 37.042 13.678 37.042 12.849 c
37.042 12.021 36.37 11.349 35.542 11.349 c
s
10.13 7.475 m
10.544 6.757 11.462 6.511 12.179 6.926 c
12.897 7.34 13.142 8.257 12.728 8.975 c
12.314 9.692 11.397 9.938 10.679 9.524 c
9.962 9.109 9.716 8.192 10.13 7.475 c
s
20.203 13.349 m
21.031 13.349 21.703 14.021 21.703 14.849 c
21.703 15.678 21.031 16.349 20.203 16.349 c
19.375 16.349 18.703 15.678 18.703 14.849 c
18.703 14.021 19.375 13.349 20.203 13.349 c
s
44.635 54.1 m
45.049 53.382 44.803 52.465 44.086 52.051 c
43.369 51.636 42.451 51.882 42.037 52.6 c
41.623 53.317 41.869 54.234 42.586 54.649 c
43.303 55.063 44.221 54.817 44.635 54.1 c
s
36.841 48.1 m
36.427 47.382 35.509 47.136 34.792 47.551 c
34.074 47.965 33.828 48.882 34.243 49.6 c
34.657 50.317 35.574 50.563 36.292 50.149 c
37.009 49.734 37.255 48.817 36.841 48.1 c
s
29.728 54.725 m
30.143 54.007 29.897 53.09 29.179 52.675 c
28.462 52.261 27.544 52.507 27.13 53.225 c
26.716 53.942 26.962 54.859 27.679 55.274 c
28.397 55.688 29.314 55.442 29.728 54.725 c
s
10.86 54.1 m
10.446 53.382 10.691 52.465 11.409 52.051 c
12.126 51.636 13.044 51.882 13.458 52.6 c
13.872 53.317 13.626 54.234 12.909 54.649 c
12.191 55.063 11.274 54.817 10.86 54.1 c
s
19.154 49.1 m
19.568 48.382 20.486 48.136 21.203 48.551 c
21.92 48.965 22.166 49.882 21.752 50.6 c
21.338 51.317 20.42 51.563 19.703 51.149 c
18.986 50.734 18.74 49.817 19.154 49.1 c
s
51.88 38.85 m
51.052 38.85 50.38 39.521 50.38 40.35 c
50.38 41.178 51.052 41.85 51.88 41.85 c
52.709 41.85 53.38 41.178 53.38 40.35 c
53.38 39.521 52.709 38.85 51.88 38.85 c
s
51.865 11.349 m
52.693 11.349 53.365 12.021 53.365 12.849 c
53.365 13.678 52.693 14.349 51.865 14.349 c
51.036 14.349 50.365 13.678 50.365 12.849 c
50.365 12.021 51.036 11.349 51.865 11.349 c
s
30.179 18.524 m
29.765 19.241 28.848 19.487 28.13 19.073 c
27.413 18.658 27.167 17.741 27.581 17.024 c
27.995 16.306 28.913 16.06 29.63 16.475 c
30.348 16.889 30.593 17.806 30.179 18.524 c
s
21.679 31.524 m
21.265 32.241 20.348 32.487 19.63 32.073 c
18.913 31.658 18.667 30.741 19.081 30.024 c
19.495 29.306 20.413 29.06 21.13 29.475 c
21.848 29.889 22.093 30.806 21.679 31.524 c
s
37.914 33.399 m
37.5 34.116 36.583 34.362 35.865 33.948 c
35.148 33.533 34.902 32.616 35.316 31.899 c
35.73 31.181 36.648 30.935 37.365 31.35 c
38.083 31.764 38.328 32.681 37.914 33.399 c
s
28.929 45.024 m
28.515 45.741 27.598 45.987 26.88 45.573 c
26.163 45.158 25.917 44.241 26.331 43.524 c
26.745 42.806 27.663 42.56 28.38 42.975 c
29.098 43.389 29.343 44.306 28.929 45.024 c
s
12.429 45.524 m
12.015 46.241 11.098 46.487 10.38 46.073 c
9.663 45.658 9.417 44.741 9.831 44.024 c
10.245 43.306 11.163 43.06 11.88 43.475 c
12.598 43.889 12.843 44.806 12.429 45.524 c
s
44.49 45.6 m
44.075 46.317 43.158 46.563 42.441 46.149 c
41.723 45.734 41.477 44.817 41.891 44.1 c
42.306 43.382 43.223 43.136 43.941 43.55 c
44.658 43.965 44.904 44.882 44.49 45.6 c
s
12.679 18.524 m
12.265 19.241 11.348 19.487 10.63 19.073 c
9.913 18.658 9.667 17.741 10.081 17.024 c
10.495 16.306 11.413 16.06 12.13 16.475 c
12.848 16.889 13.093 17.806 12.679 18.524 c
s
21.179 5.774 m
20.765 6.491 19.848 6.737 19.13 6.323 c
18.413 5.908 18.167 4.991 18.581 4.274 c
18.995 3.557 19.913 3.311 20.63 3.725 c
21.348 4.139 21.593 5.056 21.179 5.774 c
s
38.929 5.274 m
38.515 5.991 37.598 6.237 36.88 5.823 c
36.163 5.408 35.917 4.491 36.331 3.774 c
36.745 3.057 37.663 2.811 38.38 3.225 c
39.098 3.639 39.343 4.556 38.929 5.274 c
s
43.865 18.1 m
44.694 18.1 45.365 17.429 45.365 16.6 c
45.365 15.772 44.694 15.1 43.865 15.1 c
43.037 15.1 42.365 15.772 42.365 16.6 c
42.365 17.429 43.037 18.1 43.865 18.1 c
s
51.13 4.6 m
50.302 4.6 49.63 3.928 49.63 3.1 c
49.63 2.272 50.302 1.6 51.13 1.6 c
51.959 1.6 52.63 2.272 52.63 3.1 c
52.63 3.928 51.959 4.6 51.13 4.6 c
s
52.163 31.649 m
51.748 32.366 50.831 32.612 50.114 32.198 c
49.396 31.783 49.15 30.866 49.565 30.149 c
49.979 29.431 50.896 29.185 51.614 29.6 c
52.331 30.014 52.577 30.931 52.163 31.649 c
s
51.85 51.35 m
51.021 51.35 50.35 50.678 50.35 49.85 c
50.35 49.021 51.021 48.35 51.85 48.35 c
52.678 48.35 53.35 49.021 53.35 49.85 c
53.35 50.678 52.678 51.35 51.85 51.35 c
s
49.85 23.1 m
50.679 23.1 51.35 22.428 51.35 21.6 c
51.35 20.771 50.679 20.1 49.85 20.1 c
49.022 20.1 48.35 20.771 48.35 21.6 c
48.35 22.428 49.022 23.1 49.85 23.1 c
s
5.13 38.85 m
4.302 38.85 3.63 39.521 3.63 40.35 c
3.63 41.178 4.302 41.85 5.13 41.85 c
5.959 41.85 6.63 41.178 6.63 40.35 c
6.63 39.521 5.959 38.85 5.13 38.85 c
s
5.115 11.349 m
5.943 11.349 6.615 12.021 6.615 12.849 c
6.615 13.678 5.943 14.349 5.115 14.349 c
4.286 14.349 3.615 13.678 3.615 12.849 c
3.615 12.021 4.286 11.349 5.115 11.349 c
s
4.38 4.6 m
3.552 4.6 2.88 3.928 2.88 3.1 c
2.88 2.272 3.552 1.6 4.38 1.6 c
5.209 1.6 5.88 2.272 5.88 3.1 c
5.88 3.928 5.209 4.6 4.38 4.6 c
s
5.413 31.649 m
4.998 32.366 4.081 32.612 3.364 32.198 c
2.646 31.783 2.4 30.866 2.815 30.149 c
3.229 29.431 4.146 29.185 4.864 29.6 c
5.581 30.014 5.827 30.931 5.413 31.649 c
s
5.1 51.35 m
4.271 51.35 3.6 50.678 3.6 49.85 c
3.6 49.021 4.271 48.35 5.1 48.35 c
5.928 48.35 6.6 49.021 6.6 49.85 c
6.6 50.678 5.928 51.35 5.1 51.35 c
s
3.1 23.1 m
3.929 23.1 4.6 22.428 4.6 21.6 c
4.6 20.771 3.929 20.1 3.1 20.1 c
2.272 20.1 1.6 20.771 1.6 21.6 c
1.6 22.428 2.272 23.1 3.1 23.1 c
s
21.194 59.775 m
20.78 60.492 19.863 60.738 19.145 60.324 c
18.428 59.909 18.182 58.992 18.596 58.275 c
19.01 57.558 19.928 57.312 20.645 57.726 c
21.363 58.14 21.608 59.057 21.194 59.775 c
s
38.944 59.275 m
38.53 59.992 37.613 60.238 36.895 59.824 c
36.178 59.409 35.932 58.492 36.346 57.775 c
36.76 57.058 37.678 56.812 38.395 57.226 c
39.113 57.64 39.358 58.557 38.944 59.275 c
s
51.145 58.601 m
50.317 58.601 49.645 57.929 49.645 57.101 c
49.645 56.273 50.317 55.601 51.145 55.601 c
51.974 55.601 52.645 56.273 52.645 57.101 c
52.645 57.929 51.974 58.601 51.145 58.601 c
s
4.395 58.601 m
3.567 58.601 2.895 57.929 2.895 57.101 c
2.895 56.273 3.567 55.601 4.395 55.601 c
5.224 55.601 5.895 56.273 5.895 57.101 c
5.895 57.929 5.224 58.601 4.395 58.601 c
s
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Rope.side)
(Rope.side) 1 4.6 60.9998 33.3999 [
%AI3_Tile
(0 O 0 R  0 0 0 1 k
 0 0 0 1 K
) @
(
%AI6_BeginPatternLayer
800 Ar
1 J 1 j 0.6 w 4 M []0 d
%AI3_Note:
0 D
0 XR
24.9999 7 m
15.6521 4.663 8.125 8.6981 1 14.1407 C
S
36.9999 7 m
22.3477 3.337 12.168 15.3276 1 23.859 C
S
48.9999 7 m
29.3464 2.0866 17.7386 25.3332 1 30.6213 C
S
1 30.9999 m
24.9999 36.9999 36.9999 1 60.9998 7 C
S
13 30.9999 m
32.6534 35.9133 44.2611 12.6667 60.9998 7.3786 C
S
24.9999 30.9999 m
39.652 34.6629 49.8317 22.6722 60.9998 14.1407 C
S
36.9999 30.9999 m
46.3476 33.3369 53.8749 29.3018 60.9998 23.859 C
S
48.9999 30.9999 m
53.3464 32.0865 57.2978 31.7908 60.9998 30.6213 C
S
13 7 m
8.6535 5.9134 4.7019 6.2091 1 7.3786 C
S
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Scales)
(Scales) 1.6 9.3475 48.088 55.8355 [
%AI3_Tile
(0 O 0 R  1 g
 1 G
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
1.6 9.3475 m
1.6 55.8355 L
48.088 55.8355 L
48.088 9.3475 L
1.6 9.3475 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 g
 0 G
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
17.0956 9.3475 m
12.8162 9.3475 9.3475 5.8787 9.3475 1.6 C
9.3475 5.8787 5.8787 9.3475 1.6 9.3475 C
1.6 13.6262 5.0687 17.095 9.3475 17.095 c
13.6268 17.095 17.0956 13.6262 17.0956 9.3475 C
s
32.5918 9.3475 m
28.3125 9.3475 24.8437 5.8787 24.8437 1.6 C
24.8437 5.8787 21.3743 9.3475 17.0956 9.3475 C
17.0956 13.6262 20.5644 17.095 24.8437 17.095 c
29.1224 17.095 32.5918 13.6262 32.5918 9.3475 C
s
48.088 9.3475 m
43.8087 9.3475 40.3399 5.8787 40.3399 1.6 C
40.3399 5.8787 36.8705 9.3475 32.5918 9.3475 C
32.5918 13.6262 36.0606 17.095 40.3399 17.095 c
44.6186 17.095 48.088 13.6262 48.088 9.3475 C
s
17.0956 40.3393 m
12.8162 40.3393 9.3475 36.8699 9.3475 32.5912 C
9.3475 36.8699 5.8787 40.3393 1.6 40.3393 C
1.6 44.6181 5.0687 48.0874 9.3475 48.0874 c
13.6268 48.0874 17.0956 44.6181 17.0956 40.3393 C
s
17.0956 24.8431 m
12.8162 24.8431 9.3475 21.3743 9.3475 17.095 C
9.3475 21.3743 5.8787 24.8431 1.6 24.8431 C
1.6 29.1218 5.0687 32.5912 9.3475 32.5912 c
13.6268 32.5912 17.0956 29.1218 17.0956 24.8431 C
s
32.5918 24.8431 m
28.3125 24.8431 24.8437 21.3743 24.8437 17.095 C
24.8437 21.3743 21.3743 24.8431 17.0956 24.8431 C
17.0956 29.1218 20.5644 32.5912 24.8437 32.5912 c
29.1224 32.5912 32.5918 29.1218 32.5918 24.8431 C
s
48.088 24.8431 m
43.8087 24.8431 40.3399 21.3743 40.3399 17.095 C
40.3399 21.3743 36.8705 24.8431 32.5918 24.8431 C
32.5918 29.1218 36.0606 32.5912 40.3399 32.5912 c
44.6186 32.5912 48.088 29.1218 48.088 24.8431 C
s
32.5918 40.3393 m
28.3125 40.3393 24.8437 36.8699 24.8437 32.5912 C
24.8437 36.8699 21.3743 40.3393 17.0956 40.3393 C
17.0956 44.6181 20.5644 48.0874 24.8437 48.0874 c
29.1224 48.0874 32.5918 44.6181 32.5918 40.3393 C
s
48.088 40.3393 m
43.8087 40.3393 40.3399 36.8699 40.3399 32.5912 C
40.3399 36.8699 36.8705 40.3393 32.5918 40.3393 C
32.5918 44.6181 36.0606 48.0874 40.3399 48.0874 c
44.6186 48.0874 48.088 44.6181 48.088 40.3393 C
s
17.0956 55.8355 m
12.8162 55.8355 9.3475 52.3662 9.3475 48.0874 C
9.3475 52.3662 5.8787 55.8355 1.6 55.8355 C
1.6 60.1143 5.0687 63.5836 9.3475 63.5836 c
13.6268 63.5836 17.0956 60.1143 17.0956 55.8355 C
s
32.5918 55.8355 m
28.3125 55.8355 24.8437 52.3662 24.8437 48.0874 C
24.8437 52.3662 21.3743 55.8355 17.0956 55.8355 C
17.0956 60.1143 20.5644 63.5836 24.8437 63.5836 c
29.1224 63.5836 32.5918 60.1143 32.5918 55.8355 C
s
48.088 55.8355 m
43.8087 55.8355 40.3399 52.3662 40.3399 48.0874 C
40.3399 52.3662 36.8705 55.8355 32.5918 55.8355 C
32.5918 60.1143 36.0606 63.5836 40.3399 63.5836 c
44.6186 63.5836 48.088 60.1143 48.088 55.8355 C
s
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (SolidStar.side)
(SolidStar.side) 1 1 33.0117 33.0117 [
%AI3_Tile
(0 O 0 R  0.05 0.2 0.95 0 k
 0.05 0.2 0.95 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
1 D
0 XR
7.9689 26.0458 m
14.5331 22.9874 l
17.0095 29.7904 L
19.4859 22.9874 l
26.0473 26.0458 l
22.9889 19.4815 l
29.792 17.0052 l
22.9889 14.5288 l
26.0473 7.9674 l
19.4859 11.0257 l
17.0095 4.2226 l
14.5305 11.0257 l
7.9689 7.9674 l
11.0273 14.5288 l
4.2242 17.0052 l
11.0273 19.4843 L
7.9689 26.0458 l
f
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Stars)
(Stars) 1 1 63.384 84.766 [
%AI3_Tile
(0 O 0 R  1 0.9 0.1 0 k
 1 0.9 0.1 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.3 w 4 M []0 d
%AI3_Note:
0 D
0 XR
1 1 m
1 84.766 L
63.384 84.766 L
63.384 1 L
1 1 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0.25 1 0 k
 0 0.25 1 0 K
) @
(
%AI6_BeginPatternLayer
*u
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
37.668 67.113 m
43.924 62.567 L
41.535 55.213 L
47.791 59.757 L
54.046 55.212 L
51.657 62.566 L
57.914 67.112 L
50.18 67.112 L
47.791 74.467 L
45.402 67.113 L
37.668 67.113 L
f
16.596 59.757 m
22.851 55.212 L
20.462 62.566 L
26.719 67.112 L
18.985 67.112 L
16.596 74.467 L
14.207 67.113 L
6.473 67.113 L
12.729 62.567 L
10.34 55.213 L
16.596 59.757 L
f
20.462 20.683 m
26.719 25.229 L
18.985 25.229 L
16.596 32.584 L
14.207 25.23 L
6.473 25.23 L
12.729 20.684 L
10.34 13.33 L
16.596 17.874 L
22.851 13.329 L
20.462 20.683 L
f
38.447 34.271 m
36.058 41.625 L
42.315 46.171 L
34.581 46.171 L
32.192 53.526 L
29.803 46.172 L
22.069 46.172 L
28.325 41.626 L
25.936 34.272 L
32.192 38.816 L
38.447 34.271 L
f
51.657 20.683 m
57.914 25.229 L
50.18 25.229 L
47.791 32.584 L
45.402 25.23 L
37.668 25.23 L
43.924 20.684 L
41.535 13.33 L
47.791 17.874 L
54.046 13.329 L
51.657 20.683 L
f
*U
1 XR
34.581 4.288 m
32.192 11.643 L
29.803 4.289 L
22.069 4.289 L
26.5962 1 L
37.7885 1 L
42.315 4.288 L
34.581 4.288 L
f
53.261 4.289 m
57.7882 1 L
63.384 1 L
63.384 11.643 L
60.995 4.289 L
53.261 4.289 L
f
4.866 41.625 m
11.123 46.171 L
3.389 46.171 L
1 53.526 L
1 38.816 L
7.255 34.271 L
4.866 41.625 L
f
36.058 41.625 m
42.315 46.171 L
34.581 46.171 L
32.192 53.526 L
29.803 46.172 L
22.069 46.172 L
28.325 41.626 L
25.936 34.272 L
32.192 38.816 L
38.447 34.271 L
36.058 41.625 L
f
53.261 46.172 m
59.517 41.626 L
57.128 34.272 L
63.384 38.816 L
63.384 53.526 L
60.995 46.172 L
53.261 46.172 L
f
4.866 83.508 m
6.5974 84.766 L
1 84.766 L
1 80.699 L
7.255 76.154 L
4.866 83.508 L
f
25.936 76.155 m
32.192 80.699 L
38.447 76.154 L
36.058 83.508 L
37.7895 84.766 L
26.5951 84.766 L
28.325 83.509 L
25.936 76.155 L
f
22.851 55.212 m
20.462 62.566 L
26.719 67.112 L
18.985 67.112 L
16.596 74.467 L
14.207 67.113 L
6.473 67.113 L
12.729 62.567 L
10.34 55.213 L
16.596 59.757 L
22.851 55.212 L
f
41.535 55.213 m
47.791 59.757 L
54.046 55.212 L
51.657 62.566 L
57.914 67.112 L
50.18 67.112 L
47.791 74.467 L
45.402 67.113 L
37.668 67.113 L
43.924 62.567 L
41.535 55.213 L
f
50.18 25.229 m
47.791 32.584 L
45.402 25.23 L
37.668 25.23 L
43.924 20.684 L
41.535 13.33 L
47.791 17.874 L
54.046 13.329 L
51.657 20.683 L
57.914 25.229 L
50.18 25.229 L
f
18.985 25.229 m
16.596 32.584 L
14.207 25.23 L
6.473 25.23 L
12.729 20.684 L
10.34 13.33 L
16.596 17.874 L
22.851 13.329 L
20.462 20.683 L
26.719 25.229 L
18.985 25.229 L
f
3.388 4.289 m
1 11.643 L
1 1 L
6.5948 1 L
11.122 4.289 L
3.388 4.289 L
f
57.128 76.154 m
63.384 80.699 L
63.384 84.766 L
57.7855 84.766 L
59.517 83.508 L
57.128 76.154 L
f
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Stripes)
(Stripes) 8.45 4.6001 80.45 76.6001 [
%AI3_Tile
(0 O 0 R  1 0.07 1 0 k
 1 0.07 1 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 3.6 w 4 M []0 d
%AI3_Note:
0 D
0 XR
8.2 8.2 m
80.7 8.2 L
S
8.2 22.6001 m
80.7 22.6001 L
S
8.2 37.0002 m
80.7 37.0002 L
S
8.2 51.4 m
80.7 51.4 L
S
8.2 65.8001 m
80.7 65.8001 L
S
8.2 15.4 m
80.7 15.4 L
S
8.2 29.8001 m
80.7 29.8001 L
S
8.2 44.2 m
80.7 44.2 L
S
8.2 58.6001 m
80.7 58.6001 L
S
8.2 73.0002 m
80.7 73.0002 L
S
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (TriBevel.outer)
(TriBevel.outer) 1 1.0004 31.6124 31.6127 [
%AI3_Tile
(0 O 0 R  0 0 0 0.3 k
 0 0 0 0.3 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
31.6118 5.4917 m
27.1221 5.4917 L
27.1205 1.0011 L
27.8031 1.0011 L
27.8031 4.8091 L
31.6118 4.8091 L
31.6118 5.4917 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0 0 0.2 k
 0 0 0 0.2 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
31.6149 9.5062 m
23.1111 9.5062 L
23.1111 1.0015 L
27.1205 1.0015 L
27.1205 5.493 L
31.6144 5.493 L
31.6149 9.5062 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0 0 0.4 k
 0 0 0 0.4 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
31.6124 10.485 m
22.1297 10.485 L
22.1292 1.0015 L
23.1084 1.0015 L
23.1084 9.5049 L
31.6124 9.5049 L
31.6124 10.485 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0 0 0.3 k
 0 0 0 0.3 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
31.6129 17.2066 m
15.4064 17.2085 L
15.4064 1 L
22.1301 1 L
22.1301 10.4868 L
31.6129 10.4868 L
31.6129 17.2066 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0 0 0.5 k
 0 0 0 0.5 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
31.6149 18.3658 m
14.2517 18.3658 L
14.2515 1.0009 L
15.4043 1.0009 L
15.4043 17.2093 L
31.6149 17.2093 L
31.6149 18.3658 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0 0 0.4 k
 0 0 0 0.4 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
31.6124 30.4755 m
2.1395 30.4755 L
2.1395 1.0015 L
14.249 1 L
14.249 18.366 L
31.6149 18.366 L
31.6124 30.4755 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0 0 0.6 k
 0 0 0 0.6 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
15.4066 16.847 m
14.2778 18.3257 l
15.4066 17.2057 l
15.4066 16.847 l
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0 0 0.5 k
 0 0 0 0.5 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
23.1095 9.1906 m
22.1759 10.4392 l
23.1082 9.505 l
23.1095 9.1906 l
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0 0 0.4 k
 0 0 0 0.4 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
27.8039 4.6026 m
27.1619 5.4533 l
27.8029 4.8093 l
27.8039 4.6026 l
f
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (TriBevel.side)
(TriBevel.side) 1.0006 1 29.0006 31.6124 [
%AI3_Tile
(0 O 0 R  0 0 0 0.3 k
 0 0 0 0.3 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
29 4.8087 m
29 4.8087 L
29.0026 5.4927 L
1.0026 5.4927 L
1 4.8087 L
1 4.8087 L
29 4.8087 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0 0 0.2 k
 0 0 0 0.2 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
29.0026 5.4927 m
29.0005 9.5045 L
1.0005 9.5045 L
1.0026 5.4927 L
29.0026 5.4927 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0 0 0.4 k
 0 0 0 0.4 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
29.0005 9.5045 m
29.0011 10.4865 L
1.0011 10.4865 L
1.0005 9.5045 L
29.0005 9.5045 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0 0 0.3 k
 0 0 0 0.3 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
29.0011 10.4865 m
29.003 17.209 L
1.003 17.209 L
1.0011 10.4865 L
29.0011 10.4865 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0 0 0.5 k
 0 0 0 0.5 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
29.003 17.209 m
29.0031 18.3656 L
1.0031 18.3656 L
1.003 17.209 L
29.003 17.209 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0 0 0 0.4 k
 0 0 0 0.4 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
0 D
0 XR
29.0031 18.3656 m
29.0006 30.4752 L
1.0006 30.4752 L
1.0031 18.3656 L
29.0031 18.3656 L
f
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Waves-scroll)
(Waves-scroll) 17.926 10.516 68.663 69.012 [
%AI3_Tile
(0 O 0 R  1 0 0.3 0 k
 1 0 0.3 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 1 w 4 M []0 d
%AI3_Note:
1 D
0 XR
17.926 69.012 m
17.926 10.516 L
68.663 10.516 L
68.663 69.012 L
17.926 69.012 L
f
%AI6_EndPatternLayer
) &
(0 O 0 R  0.55 0 0 0 k
 0.55 0 0 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 0.75 w 4 M []0 d
%AI3_Note:
0 D
0 XR
65.335 70.465 m
65.881 68.746 67.444 68.168 68.663 69.012 C
67.538 69.668 68.011 71.255 69.686 70.933 c
72.124 70.464 71.894 67.213 70.53 65.589 c
68.561 63.245 64.565 60.995 53.241 71.117 C
S
39.964 70.465 m
40.511 68.746 42.074 68.168 43.293 69.012 C
42.168 69.668 42.64 71.255 44.316 70.933 c
46.753 70.464 46.524 67.213 45.16 65.589 c
43.191 63.245 39.195 60.995 27.87 71.117 c
S
14.594 70.465 m
15.141 68.746 16.704 68.168 17.923 69.012 C
16.798 69.668 17.27 71.255 18.945 70.933 c
21.382 70.464 21.153 67.213 19.789 65.589 c
17.821 63.245 13.825 60.995 2.5 71.117 c
S
10.959 51.619 m
22.282 41.497 26.278 43.747 28.247 46.09 c
29.611 47.715 29.841 50.965 27.403 51.434 c
25.728 51.757 25.255 50.169 26.38 49.513 C
25.161 48.669 23.599 49.248 23.052 50.966 c
22.723 51.997 23.38 53.966 24.872 54.903 c
27.267 56.406 31.371 56.05 36.328 51.619 c
47.653 41.497 51.649 43.746 53.618 46.09 c
54.982 47.715 55.212 50.965 52.774 51.434 c
51.099 51.757 50.626 50.169 51.751 49.513 C
50.532 48.669 48.97 49.248 48.423 50.966 c
48.094 51.997 48.751 53.966 50.243 54.903 c
52.638 56.406 56.742 56.05 61.699 51.619 C
73.024 41.497 77.02 43.747 78.988 46.09 c
S
70.156 32.12 m
65.199 36.551 61.095 36.907 58.7 35.404 c
57.208 34.468 56.552 32.499 56.88 31.468 c
57.427 29.749 58.99 29.171 60.208 30.015 C
59.083 30.671 59.556 32.258 61.231 31.936 c
63.669 31.467 63.439 28.216 62.075 26.592 c
60.106 24.248 56.11 21.998 44.786 32.12 C
39.829 36.551 35.725 36.907 33.33 35.404 c
31.838 34.468 31.182 32.499 31.51 31.468 c
32.056 29.749 33.619 29.171 34.838 30.015 C
33.713 30.671 34.186 32.258 35.861 31.936 c
38.299 31.467 38.069 28.216 36.705 26.592 c
34.737 24.248 30.74 21.998 19.415 32.12 c
14.458 36.551 10.354 36.907 7.96 35.404 c
S
19.792 7.094 m
21.157 8.719 21.386 11.968 18.949 12.437 c
17.274 12.76 16.801 11.172 17.926 10.516 C
16.708 9.673 15.145 10.252 14.598 11.969 c
14.27 13 14.926 14.969 16.418 15.906 c
18.812 17.409 22.916 17.053 27.874 12.622 c
39.199 2.5 43.195 4.75 45.163 7.094 c
46.528 8.719 46.757 11.968 44.32 12.437 c
42.644 12.76 42.172 11.172 43.297 10.516 C
42.078 9.673 40.515 10.252 39.968 11.969 c
39.64 13 40.297 14.969 41.788 15.906 c
44.183 17.409 48.287 17.053 53.245 12.622 C
64.569 2.5 68.565 4.75 70.534 7.094 c
71.898 8.719 72.127 11.968 69.69 12.437 c
68.014 12.76 67.542 11.172 68.667 10.516 C
67.448 9.673 65.885 10.252 65.338 11.969 c
65.011 13 65.667 14.969 67.159 15.906 c
69.553 17.409 73.657 17.053 78.615 12.622 c
S
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI3_BeginPattern: (Yellow Stripe)
(Yellow Stripe) 8.4499 4.6 80.4499 76.6 [
%AI3_Tile
(0 O 0 R  0 0.4 1 0 k
 0 0.4 1 0 K
) @
(
%AI6_BeginPatternLayer
800 Ar
0 J 0 j 3.6 w 4 M []0 d
%AI3_Note:
0 D
0 XR
8.1999 8.1999 m
80.6999 8.1999 L
S
8.1999 22.6 m
80.6999 22.6 L
S
8.1999 37.0001 m
80.6999 37.0001 L
S
8.1999 51.3999 m
80.6999 51.3999 L
S
8.1999 65.8 m
80.6999 65.8 L
S
8.1999 15.3999 m
80.6999 15.3999 L
S
8.1999 29.8 m
80.6999 29.8 L
S
8.1999 44.1999 m
80.6999 44.1999 L
S
8.1999 58.6 m
80.6999 58.6 L
S
8.1999 73.0001 m
80.6999 73.0001 L
S
%AI6_EndPatternLayer
) &
] E
%AI3_EndPattern
%AI5_End_NonPrinting--
%AI5_Begin_NonPrinting
Np
13 Bn
%AI5_BeginGradient: (Black & White)
(Black & White) 0 2 Bd
[
0
0
0
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5F6F7F8F9FAFBFCFDFEFF
>
1 %_Br
[
0 0 50 100 %_Bs
1 0 50 0 %_Bs
BD
%AI5_EndGradient
%AI5_BeginGradient: (Black, White)
(Black, White) 0 2 Bd
[
0
0
0
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5F6F7F8F9FAFBFCFDFEFF
>
1 %_Br
[
0 0 50 100 %_Bs
1 0 50 0 %_Bs
BD
%AI5_EndGradient
%AI5_BeginGradient: (Chrome)
(Chrome) 0 6 Bd
[
0
<
464646454545444444444343434342424241414141404040403F3F3F3E3E3E3E3D3D3D3C3C3C3C3B
3B3B3B3A3A3A39393939383838383737373636363635353535343434333333333232323131313130
3030302F2F2F2E2E2E2E2D2D2D2D2C2C2C2B2B2B2B2A2A2A2A292929282828282727272726262625
2525252424242323232322222222212121202020201F1F1F1F1E1E1E1D1D1D1D1C1C1C1C1B1B1B1A
1A1A1A1919191818181817171717161616151515151414141413131312121212111111101010100F
0F0F0F0E0E0E0D0D0D0D0C0C0C0C0B0B0B0A0A0A0A09090909080808070707070606060505050504
04040403030302020202010101010000
>
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
<
1F1E1E1E1E1E1E1E1E1E1D1D1D1D1D1D1D1D1C1C1C1C1C1C1C1C1B1B1B1B1B1B1B1B1B1A1A1A1A1A
1A1A1A19191919191919191818181818181818181717171717171717161616161616161615151515
15151515151414141414141414131313131313131312121212121212121211111111111111111010
1010101010100F0F0F0F0F0F0F0F0F0E0E0E0E0E0E0E0E0D0D0D0D0D0D0D0D0C0C0C0C0C0C0C0C0C
0B0B0B0B0B0B0B0B0A0A0A0A0A0A0A0A090909090909090909080808080808080807070707070707
07060606060606060606050505050505050504040404040404040303030303030303030202020202
02020201010101010101010000000000
>
1 %_Br
0
0.275
1
<
6B6A696867666564636261605F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544
434241403F3E3D3C3B3A393837363534333231302F2E2D2C2B2A292827262524232221201F
>
1 %_Br
0
<
00000101010102020202030303040404040505050506060607070707080808090909090A0A0A0A0B
0B0B0C0C0C0C0D0D0D0D0E0E0E0F0F0F0F1010101011111112121212131313141414141515151516
161617171717181818181919191A1A1A1A1B1B1B1B1C1C1C1D1D1D1D1E1E1E1F1F1F1F2020202021
212122222222232323232424242525252526262626272727282828282929292A2A2A2A2B2B2B2B2C
2C2C2D2D2D2D2E2E2E2E2F2F2F303030303131313132323233333333343434353535353636363637
373738383838393939393A3A3A3B3B3B3B3C3C3C3C3D3D3D3E3E3E3E3F3F3F404040404141414142
42424343434344444444454545464646
>
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5F6F7F8F9FAFBFCFDFEFF
>
<
00000101020203030304040505050606070708080809090A0A0A0B0B0C0C0D0D0D0E0E0F0F101010
1111121212131314141515151616171718181819191A1A1A1B1B1C1C1D1D1D1E1E1F1F1F20202121
222222232324242525252626272727282829292A2A2A2B2B2C2C2D2D2D2E2E2F2F2F303031313232
32333334343435353636373737383839393A3A3A3B3B3C3C3C3D3D3E3E3F3F3F4040414142424243
434444444545464647474748484949494A4A4B4B4C4C4C4D4D4E4E4F4F4F50505151515252535354
54545555565657575758585959595A5A5B5B5C5C5C5D5D5E5E5F5F5F606061616162626363646464
6565666666676768686969696A6A6B6B
>
1 %_Br
0
0
0
0
1 %_Br
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
<
4D4C4C4C4B4B4B4A4A4A4A4949494848484747474746464645454544444444434343424242414141
414040403F3F3F3E3E3E3E3D3D3D3C3C3C3B3B3B3B3A3A3A39393938383838373737363636353535
35343434333333323232323131313030302F2F2F2F2E2E2E2D2D2D2C2C2C2C2B2B2B2A2A2A292929
292828282727272626262625252524242423232323222222212121202020201F1F1F1E1E1E1D1D1D
1D1C1C1C1B1B1B1A1A1A1A1919191818181717171716161615151514141414131313121212111111
111010100F0F0F0E0E0E0E0D0D0D0C0C0C0B0B0B0B0A0A0A09090908080808070707060606050505
05040404030303020202020101010000
>
0
0
1 %_Br
[
1 0 50 92 %_Bs
0 0.275 1 0.12 1 50 59 %_Bs
0 0.275 1 0.42 1 50 50 %_Bs
1 0 50 49 %_Bs
1 0 50 41 %_Bs
1 0.3 0 0 1 50 0 %_Bs
BD
%AI5_EndGradient
%AI5_BeginGradient: (Green, Blue)
(Green, Blue) 0 2 Bd
[
<
99999A9A9B9B9B9C9C9D9D9D9E9E9F9F9FA0A0A1A1A1A2A2A3A3A3A4A4A5A5A5A6A6A7A7A7A8A8A9
A9A9AAAAABABABACACADADADAEAEAFAFAFB0B0B1B1B1B2B2B3B3B3B4B4B5B5B5B6B6B7B7B7B8B8B9
B9B9BABABBBBBBBCBCBDBDBDBEBEBFBFBFC0C0C1C1C1C2C2C3C3C3C4C4C5C5C5C6C6C7C7C7C8C8C9
C9C9CACACBCBCBCCCCCDCDCDCECECFCFCFD0D0D1D1D1D2D2D3D3D3D4D4D5D5D5D6D6D7D7D7D8D8D9
D9D9DADADBDBDBDCDCDDDDDDDEDEDFDFDFE0E0E1E1E1E2E2E3E3E3E4E4E5E5E5E6E6E7E7E7E8E8E9
E9E9EAEAEBEBEBECECEDEDEDEEEEEFEFEFF0F0F1F1F1F2F2F3F3F3F4F4F5F5F5F6F6F7F7F7F8F8F9
F9F9FAFAFBFBFBFCFCFDFDFDFEFEFFFF
>
<
000102020304050506070808090A0B0B0C0D0E0E0F101111121314141516171718191A1A1B1C1D1D
1E1F20202122232324252626272829292A2B2C2C2D2E2F2F303132323334353536373838393A3B3B
3C3D3E3E3F404141424344444546474748494A4A4B4C4D4D4E4F5050515253535455565657585959
5A5B5C5C5D5E5F5F606162626364656566676868696A6B6B6C6D6E6E6F7071717273747475767777
78797A7A7B7C7D7D7E7F80808182828384858586878888898A8B8B8C8D8E8E8F9091919293949495
96979798999A9A9B9C9D9D9E9FA0A0A1A2A3A3A4A5A6A6A7A8A9A9AAABACACADAEAFAFB0B1B2B2B3
B4B5B5B6B7B8B8B9BABBBBBCBDBEBEBF
>
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
0
1 %_Br
[
1 0.75 0 0 1 50 100 %_Bs
0.6 0 1 0 1 50 0 %_Bs
BD
%AI5_EndGradient
%AI5_BeginGradient: (Orange, Green, Violet)
(Orange, Green, Violet) 0 3 Bd
[
<
F0EFEFEFEEEEEEEDEDEDECECECEBEBEBEAEAEAE9E9E9E8E8E8E7E7E7E6E6E6E5E5E5E4E4E4E3E3E3
E3E2E2E2E1E1E1E0E0E0DFDFDFDEDEDEDDDDDDDCDCDCDBDBDBDADADAD9D9D9D8D8D8D7D7D7D6D6D6
D5D5D5D4D4D4D3D3D3D2D2D2D1D1D1D0D0D0CFCFCFCECECECDCDCDCCCCCCCBCBCBCACACAC9C9C9C8
C8C8C7C7C7C6C6C6C5C5C5C4C4C4C3C3C3C2C2C2C2C1C1C1C0C0C0BFBFBFBEBEBEBDBDBDBCBCBCBB
BBBBBABABAB9B9B9B8B8B8B7B7B7B6B6B6B5B5B5B4B4B4B3B3B3B2B2B2B1B1B1B0B0B0AFAFAFAEAE
AEADADADACACACABABABAAAAAAA9A9A9A8A8A8A7A7A7A6A6A6A5A5A5A4A4A4A3A3A3A2A2A2A1A1A1
A0A0A0A09F9F9F9E9E9E9D9D9D9C9C9C
>
<
5455555657575859595A5A5B5C5C5D5E5E5F5F6061616263636465656666676868696A6A6B6B6C6D
6D6E6F6F707171727273747475767677777879797A7B7B7C7C7D7E7E7F8080818282838384858586
87878888898A8A8B8C8C8D8D8E8F8F909191929393949495969697989899999A9B9B9C9D9D9E9E9F
A0A0A1A2A2A3A4A4A5A5A6A7A7A8A9A9AAAAABACACADAEAEAFAFB0B1B1B2B3B3B4B5B5B6B6B7B8B8
B9BABABBBBBCBDBDBEBFBFC0C1C1C2C2C3C4C4C5C6C6C7C7C8C9C9CACBCBCCCCCDCECECFD0D0D1D2
D2D3D3D4D5D5D6D7D7D8D8D9DADADBDCDCDDDDDEDFDFE0E1E1E2E3E3E4E4E5E6E6E7E8E8E9E9EAEB
EBECEDEDEEEFEFF0F0F1F2F2F3F4F4F5
>
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
<
00000000000000000000000000000000000000000000000000000000000000000000000000000000
00000000000000000000000101010101010101010101010101010101010101010101010101010101
01010101010101010101010101010101010101010101010101010101010101010101010101010101
01010101010101010101010101010101010101010101010101010101010101020202020202020202
02020202020202020202020202020202020202020202020202020202020202020202020202020202
02020202020202020202020202020202020202020202020202020202020202020202020202020202
02020202020202020202020303030303
>
1 %_Br
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0
>
<
A1A0A0A09F9F9F9E9E9E9D9D9D9D9C9C9C9B9B9B9A9A9A9999999898989797979696969595959594
94949393939292929191919090908F8F8F8E8E8E8E8D8D8D8C8C8C8B8B8B8A8A8A89898988888887
878787868686858585848484838383828282818181808080807F7F7F7E7E7E7D7D7D7C7C7C7B7B7B
7A7A7A79797978787878777777767676757575747474737373727272717171717070706F6F6F6E6E
6E6D6D6D6C6C6C6B6B6B6A6A6A6A6969696868686767676666666565656464646363636262626261
61616060605F5F5F5E5E5E5D5D5D5C5C5C5B5B5B5B5A5A5A59595958585857575756565655555554
54
>
<
F5F5F5F5F5F5F5F5F5F5F5F5F5F5F5F5F5F6F6F6F6F6F6F6F6F6F6F6F6F6F6F6F6F6F6F6F6F6F6F6
F7F7F7F7F7F7F7F7F7F7F7F7F7F7F7F7F7F7F7F7F7F7F7F7F8F8F8F8F8F8F8F8F8F8F8F8F8F8F8F8
F8F8F8F8F8F8F8F8F9F9F9F9F9F9F9F9F9F9F9F9F9F9F9F9F9F9F9F9F9F9F9FAFAFAFAFAFAFAFAFA
FAFAFAFAFAFAFAFAFAFAFAFAFAFAFAFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFBFCFC
FCFCFCFCFCFCFCFCFCFCFCFCFCFCFCFCFCFCFCFCFCFCFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFDFD
FDFDFDFDFDFEFEFEFEFEFEFEFEFEFEFEFEFEFEFEFEFEFEFEFEFEFEFEFEFFFFFFFFFFFFFFFFFFFFFF
FF
>
0
1 %_Br
[
0.61 0.96 0 0.01 1 50 100 %_Bs
0.94 0.33 1 0 1 50 50 %_Bs
0 0.63 0.96 0 1 50 0 %_Bs
BD
%AI5_EndGradient
%AI5_BeginGradient: (Pink, Yellow, Green )
(Pink, Yellow, Green ) 0 3 Bd
[
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4E4F50
5152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F70717273
>
<
05050505050505050505050505050404040404040404040404040404040404040404040403030303
03030303030303030303030303030303030303020202020202020202020202020202020202020202
0201010101010101010101010101010101010101010101000000000000000000000000
>
<
CCCCCCCCCCCBCBCBCBCBCBCBCBCBCACACACACACACACACAC9C9C9C9C9C9C9C9C9C8C8C8C8C8C8C8C8
C8C7C7C7C7C7C7C7C7C7C6C6C6C6C6C6C6C6C6C5C5C5C5C5C5C5C5C5C4C4C4C4C4C4C4C4C3C3C3C3
C3C3C3C3C3C2C2C2C2C2C2C2C2C2C1C1C1C1C1C1C1C1C1C0C0C0C0C0C0C0C0C0BFBFBF
>
0
1 %_Br
<
0D0D0D0D0D0D0D0D0D0D0D0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0C0B
0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A
0A0A0A09090909090909090909090909090909090909090808080808080808080808080808080808
08080807070707070707070707070707070707070706060606060606060606060606060606060605
05050505050505050505050505050505050404040404040404040404040404040404030303030303
03030303030303030303030202020202020202020202020202020201010101010101010101010101
010101000000000000000000
>
<
B2B2B2B2B1B1B1B0B0B0AFAFAEAEAEADADACACABABAAAAA9A9A8A8A7A7A6A6A5A5A4A4A3A3A2A2A1
A0A09F9F9E9E9D9D9C9B9B9A9A999898979796959594949392929190908F8F8E8D8D8C8B8B8A8989
88888786868584848382828180807F7E7D7D7C7B7B7A7979787777767575747372727170706F6E6D
6D6C6B6B6A69686867666565646363626160605F5E5D5D5C5B5A5A59585757565554545352515150
4F4E4D4D4C4B4A4A4948474646454443434241403F3F3E3D3C3B3B3A393837373635343333323130
2F2F2E2D2C2B2B2A2928272726252423222221201F1E1D1D1C1B1A1918181716151413131211100F
0E0E0D0C0B0A090908070605
>
<
0000010101020202030304040505060607070808090A0A0B0B0C0C0D0E0E0F0F1011111213131415
151616171818191A1B1B1C1D1D1E1F1F202122222324242526272728292A2A2B2C2C2D2E2F303031
323333343536363738393A3A3B3C3D3E3E3F4041424243444546464748494A4B4B4C4D4E4F505051
5253545556565758595A5B5B5C5D5E5F6061626263646566676869696A6B6C6D6E6F707171727374
75767778797A7B7B7C7D7E7F80818283848586868788898A8B8C8D8E8F9091929394949596979899
9A9B9C9D9E9FA0A1A2A3A4A5A6A7A8A9AAAAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0
C1C2C3C4C5C6C7C8C9CACBCC
>
0
1 %_Br
[
0.45 0 0.75 0 1 50 100 %_Bs
0 0.02 0.8 0 1 50 64 %_Bs
0.05 0.7 0 0 1 57 0 %_Bs
BD
%AI5_EndGradient
%AI5_BeginGradient: (Purple, Red, Yellow)
(Purple, Red, Yellow) 0 3 Bd
[
0
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A
>
<
CCCCCCCDCDCDCDCDCECECECECECFCFCFCFD0D0D0D0D0D1D1D1D1D1D2D2D2D2D2D3D3D3D3D3D4D4D4
D4D5D5D5D5D5D6D6D6D6D6D7D7D7D7D7D8D8D8D8D8D9D9D9D9DADADADADADBDBDBDBDBDCDCDCDCDC
DDDDDDDDDDDEDEDEDEDFDFDFDFDFE0E0E0E0E0E1E1E1E1E1E2E2E2E2E2E3E3E3E3E4E4E4E4E4E5E5
E5E5E5E6E6E6E6E6E7E7E7E7E7E8E8E8E8E9E9E9E9E9EAEAEAEAEAEBEBEBEBEBECECECECECEDEDED
EDEEEEEEEEEEEFEFEFEFEFF0F0F0F0F0F1F1F1F1F1F2F2F2F2F3F3F3F3F3F4F4F4F4F4F5F5F5F5F5
F6F6F6F6F6F7F7F7F7F8F8F8F8F8F9F9F9F9F9FAFAFAFAFAFBFBFBFBFBFCFCFCFCFDFDFDFDFDFEFE
FEFEFEFFFFFF
>
0
1 %_Br
<
E5E4E3E2E1E0DFDEDDDCDBDAD9D8D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBE
BDBCBBBAB9B8B7B6B5B4B3B2B1B0AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A99989796
9594939291908F8E8D8C8B8A898887868584838281807F7E7D7C7B7A797877767574737271706F6E
6D6C6B6A696867666564636261605F5E5D5C5B5A595857565554535251504F4E4D4C4B4A49484746
4544434241403F3E3D3C3B3A393837363534333231302F2E2D2C2B2A292827262524232221201F1E
1D1C1B1A191817161514131211100F0E0D0C0B0A09080706050403020100
>
<
E5E6E6E6E6E6E6E6E6E7E7E7E7E7E7E7E7E7E8E8E8E8E8E8E8E8E8E9E9E9E9E9E9E9E9E9EAEAEAEA
EAEAEAEAEAEBEBEBEBEBEBEBEBEBECECECECECECECECECEDEDEDEDEDEDEDEDEDEEEEEEEEEEEEEEEE
EEEFEFEFEFEFEFEFEFEFF0F0F0F0F0F0F0F0F0F1F1F1F1F1F1F1F1F1F2F2F2F2F2F2F2F2F2F3F3F3
F3F3F3F3F3F3F4F4F4F4F4F4F4F4F4F5F5F5F5F5F5F5F5F5F6F6F6F6F6F6F6F6F6F7F7F7F7F7F7F7
F7F7F8F8F8F8F8F8F8F8F8F9F9F9F9F9F9F9F9F9FAFAFAFAFAFAFAFAFAFBFBFBFBFBFBFBFBFBFCFC
FCFCFCFCFCFCFCFDFDFDFDFDFDFDFDFDFEFEFEFEFEFEFEFEFEFFFFFFFFFF
>
<
00010203040405060708090A0B0C0C0D0E0F10111213141415161718191A1B1C1D1D1E1F20212223
242525262728292A2B2C2D2D2E2F30313233343535363738393A3B3C3D3D3E3F4041424344454546
4748494A4B4C4D4E4E4F50515253545556565758595A5B5C5D5E5E5F60616263646566666768696A
6B6C6D6E6E6F70717273747576767778797A7B7C7D7E7F7F80818283848586878788898A8B8C8D8E
8F8F90919293949596979798999A9B9C9D9E9F9FA0A1A2A3A4A5A6A7A7A8A9AAABACADAEAFAFB0B1
B2B3B4B5B6B7B8B8B9BABBBCBDBEBFC0C0C1C2C3C4C5C6C7C8C8C9CACBCC
>
0
1 %_Br
[
0 0.04 1 0 1 50 100 %_Bs
0 1 0.8 0 1 50 50 %_Bs
0.9 0.9 0 0 1 50 0 %_Bs
BD
%AI5_EndGradient
%AI5_BeginGradient: (Rainbow)
(Rainbow) 0 6 Bd
[
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
1
0
0
1 %_Br
1
<
0708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F202122232425262728292A2B2C2D2E
2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F50515253545556
5758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F707172737475767778797A7B7C7D7E
7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9FA0A1A2A3A4A5A6
A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7C8C9CACBCCCDCE
CFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEFF0F1F2F3F4F5F6
F7F8F9FAFBFCFDFEFF
>
0
0
1 %_Br
1
<
00000000000000000000000000000000000001010101010101010101010101010101010101010101
01010101010101010101010101010202020202020202020202020202020202020202020202020202
02020202020202020202030303030303030303030303030303030303030303030303030303030303
03030303030304040404040404040404040404040404040404040404040404040404040404040404
04040505050505050505050505050505050505050505050505050505050505050505050505050606
06060606060606060606060606060606060606060606060606060606060606060606070707070707
07070707070707070707070707070707
>
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
0
1 %_Br
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5F6F7F8F9FAFBFCFDFEFF
>
0
1
0
1 %_Br
0
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
1
0
1 %_Br
[
0 1 0 0 1 50 100 %_Bs
1 1 0 0 1 50 80 %_Bs
1 0.0279 0 0 1 50 60 %_Bs
1 0 1 0 1 50 40 %_Bs
0 0 1 0 1 50 20 %_Bs
0 1 1 0 1 50 0 %_Bs
BD
%AI5_EndGradient
%AI5_BeginGradient: (Steel Bar)
(Steel Bar) 0 3 Bd
[
0
0
0
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5F6F7F8F9FAFBFCFDFEFF
>
1 %_Br
0
0
0
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
1 %_Br
[
0 0 50 100 %_Bs
1 0 50 70 %_Bs
0 0 50 0 %_Bs
BD
%AI5_EndGradient
%AI5_BeginGradient: (White & Red Radial)
(White & Red Radial) 1 18 Bd
[
0
1
1
0
1 %_Br
0
1
1
0
1 %_Br
0
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
0
1 %_Br
0
0
0
0
1 %_Br
0
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5F6F7F8F9FAFBFCFDFEFF
>
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5F6F7F8F9FAFBFCFDFEFF
>
0
1 %_Br
0
1
1
0
1 %_Br
0
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
0
1 %_Br
0
0
0
0
1 %_Br
0
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5F6F7F8F9FAFBFCFDFEFF
>
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5F6F7F8F9FAFBFCFDFEFF
>
0
1 %_Br
0
1
1
0
1 %_Br
0
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
0
1 %_Br
0
0
0
0
1 %_Br
0
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5F6F7F8F9FAFBFCFDFEFF
>
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5F6F7F8F9FAFBFCFDFEFF
>
0
1 %_Br
0
1
1
0
1 %_Br
0
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
<
FFFEFDFCFBFAF9F8F7F6F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8
D7D6D5D4D3D2D1D0CFCECDCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0
AFAEADACABAAA9A8A7A6A5A4A3A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A8988
87868584838281807F7E7D7C7B7A797877767574737271706F6E6D6C6B6A69686766656463626160
5F5E5D5C5B5A595857565554535251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A3938
37363534333231302F2E2D2C2B2A292827262524232221201F1E1D1C1B1A19181716151413121110
0F0E0D0C0B0A09080706050403020100
>
0
1 %_Br
0
0
0
0
1 %_Br
0
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5F6F7F8F9FAFBFCFDFEFF
>
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5F6F7F8F9FAFBFCFDFEFF
>
0
1 %_Br
[
0 1 1 0 1 50 0 %_Bs
0 1 1 0 1 50 0 %_Bs
0 1 1 0 1 50 12.5 %_Bs
0 0 0 0 1 50 12.5 %_Bs
0 0 0 0 1 50 25 %_Bs
0 1 1 0 1 50 25 %_Bs
0 1 1 0 1 50 37.5 %_Bs
0 0 0 0 1 50 37.5 %_Bs
0 0 0 0 1 50 50 %_Bs
0 1 1 0 1 50 50 %_Bs
0 1 1 0 1 50 62.5 %_Bs
0 0 0 0 1 50 62.5 %_Bs
0 0 0 0 1 50 75 %_Bs
0 1 1 0 1 50 75 %_Bs
0 1 1 0 1 50 87.5 %_Bs
0 0 0 0 1 50 87.5 %_Bs
0 0 0 0 1 50 100 %_Bs
0 1 1 0 1 50 100 %_Bs
BD
%AI5_EndGradient
%AI5_BeginGradient: (Yellow & Orange Radial)
(Yellow & Orange Radial) 1 2 Bd
[
0
<
0001010203040506060708090A0B0C0C0D0E0F10111213131415161718191A1B1C1D1D1E1F202122
232425262728292A2B2B2C2D2E2F303132333435363738393A3B3C3D3E3E3F404142434445464748
494A4B4C4D4E4F505152535455565758595A5B5C5D5E5F60606162636465666768696A6B6C6D6E6F
707172737475767778797A7B7C7D7E7F808182838485868788898A8B8C
>
<
FFFFFFFFFEFEFEFEFEFEFEFDFDFDFDFDFDFCFCFCFCFCFCFBFBFBFBFBFBFAFAFAFAFAFAF9F9F9F9F9
F9F8F8F8F8F8F8F7F7F7F7F7F7F6F6F6F6F6F6F5F5F5F5F5F5F4F4F4F4F4F3F3F3F3F3F3F2F2F2F2
F2F2F1F1F1F1F1F0F0F0F0F0F0EFEFEFEFEFEFEEEEEEEEEEEDEDEDEDEDEDECECECECECEBEBEBEBEB
EBEAEAEAEAEAE9E9E9E9E9E9E8E8E8E8E8E8E7E7E7E7E7E6E6E6E6E6E5
>
0
1 %_Br
[
0 0 1 0 1 52 19 %_Bs
0 0.55 0.9 0 1 50 100 %_Bs
BD
%AI5_EndGradient
%AI5_BeginGradient: (Yellow & Purple Radial)
(Yellow & Purple Radial) 1 2 Bd
[
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5F6F7F8F9FAFBFCFDFEFF
>
<
1415161718191A1B1C1D1E1F1F202122232425262728292A2A2B2C2D2E2F30313233343536363738
393A3B3C3D3E3F40414142434445464748494A4B4C4D4D4E4F50515253545556575858595A5B5C5D
5E5F60616263646465666768696A6B6C6D6E6F6F707172737475767778797A7B7B7C7D7E7F808182
83848586868788898A8B8C8D8E8F90919292939495969798999A9B9C9D9D9E9FA0A1A2A3A4A5A6A7
A8A9A9AAABACADAEAFB0B1B2B3B4B4B5B6B7B8B9BABBBCBDBEBFC0C0C1C2C3C4C5C6C7C8C9CACBCB
CCCDCECFD0D1D2D3D4D5D6D7D7D8D9DADBDCDDDEDFE0E1E2E2E3E4E5E6E7E8E9EAEBECEDEEEEEFF0
F1F2F3F4F5F6F7F8F9F9FAFBFCFDFEFF
>
<
ABAAAAA9A8A7A7A6A5A5A4A3A3A2A1A1A09F9F9E9D9D9C9B9B9A9999989797969595949393929191
908F8F8E8D8D8C8B8B8A8989888787868585848383828181807F7F7E7D7D7C7B7B7A797978777776
7575747373727171706F6F6E6D6D6C6B6B6A6969686767666565646362626160605F5E5E5D5C5C5B
5A5A5958585756565554545352525150504F4E4E4D4C4C4B4A4A4948484746464544444342424140
403F3E3E3D3C3C3B3A3A3938383736363534343332323130302F2E2E2D2C2C2B2A2A292828272626
25242423222121201F1F1E1D1D1C1B1B1A1919181717161515141313121111100F0F0E0D0D0C0B0B
0A090908070706050504030302010100
>
0
1 %_Br
[
0 0.08 0.67 0 1 50 14 %_Bs
1 1 0 0 1 50 100 %_Bs
BD
%AI5_EndGradient
%AI5_BeginGradient: (Yellow, Violet, Orange, Blue)
(Yellow, Violet, Orange, Blue) 0 4 Bd
[
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5F6F7F8F9FAFBFCFDFEFF
>
<
A1A1A1A1A2A2A2A2A3A3A3A3A4A4A4A4A4A5A5A5A5A6A6A6A6A7A7A7A7A8A8A8A8A9A9A9A9AAAAAA
AAAAABABABABACACACACADADADADAEAEAEAEAFAFAFAFB0B0B0B0B0B1B1B1B1B2B2B2B2B3B3B3B3B4
B4B4B4B5B5B5B5B6B6B6B6B6B7B7B7B7B8B8B8B8B9B9B9B9BABABABABBBBBBBBBCBCBCBCBCBDBDBD
BDBEBEBEBEBFBFBFBFC0C0C0C0C1C1C1C1C2C2C2C2C2C3C3C3C3C4C4C4C4C5C5C5C5C6C6C6C6C7C7
C7C7C8C8C8C8C8C9C9C9C9CACACACACBCBCBCBCCCCCCCCCDCDCDCDCECECECECECFCFCFCFD0D0D0D0
D1D1D1D1D2D2D2D2D3D3D3D3D4D4D4D4D4D5D5D5D5D6D6D6D6D7D7D7D7D8D8D8D8D9D9D9D9DADADA
DADADBDBDBDBDCDCDCDCDDDDDDDDDEDE
>
<
F5F4F3F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E4E3E2E1E0DFDEDDDCDBDAD9D8D7D6D5D4D3D2D1D0CF
CECDCCCCCBCAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B4B3B2B1B0AFAEADACABAAA9
A8A7A6A5A4A3A2A1A09F9E9D9C9C9B9A999897969594939291908F8E8D8C8B8A8988878685848483
8281807F7E7D7C7B7A797877767574737271706F6E6D6C6C6B6A696867666564636261605F5E5D5C
5B5A59585756555454535251504F4E4D4C4B4A494847464544434241403F3E3D3C3C3B3A39383736
3534333231302F2E2D2C2B2A29282726252424232221201F1E1D1C1B1A191817161514131211100F
0E0D0C0C0B0A09080706050403020100
>
0
1 %_Br
<
9C9B9A9A9998989796969595949393929191908F8F8E8E8D8C8C8B8A8A8989888787868585848383
82828180807F7E7E7D7C7C7B7B7A797978777776757574747372727170706F6E6E6D6D6C6B6B6A69
6968676766666564646362626161605F5F5E5D5D5C5B5B5A5A595858575656555454535352515150
4F4F4E4D4D4C4C4B4A4A4948484746464545444343424141403F3F3E3E3D3C3C3B3A3A3939383737
36353534333332323130302F2E2E2D2C2C2B2B2A292928272726252524242322222120201F1E1E1D
1D1C1B1B1A191918171716161514141312121111100F0F0E0D0D0C0B0B0A0A090808070606050404
030302010100
>
<
F5F4F4F4F3F3F3F2F2F2F1F1F1F0F0F0EFEFEFEEEEEEEDEDEDECECECEBEBEAEAEAE9E9E9E8E8E8E7
E7E7E6E6E6E5E5E5E4E4E4E3E3E3E2E2E2E1E1E1E0E0E0DFDFDEDEDEDDDDDDDCDCDCDBDBDBDADADA
D9D9D9D8D8D8D7D7D7D6D6D6D5D5D5D4D4D3D3D3D2D2D2D1D1D1D0D0D0CFCFCFCECECECDCDCDCCCC
CCCBCBCBCACACAC9C9C8C8C8C7C7C7C6C6C6C5C5C5C4C4C4C3C3C3C2C2C2C1C1C1C0C0C0BFBFBFBE
BEBEBDBDBCBCBCBBBBBBBABABAB9B9B9B8B8B8B7B7B7B6B6B6B5B5B5B4B4B4B3B3B3B2B2B1B1B1B0
B0B0AFAFAFAEAEAEADADADACACACABABABAAAAAAA9A9A9A8A8A8A7A7A6A6A6A5A5A5A4A4A4A3A3A3
A2A2A2A1A1A1
>
<
000102030405060708090A0B0C0D0E0F101112131415161718191A1B1C1D1E1F2021222324252627
28292A2B2C2D2E2F303132333435363738393A3B3C3D3E3F404142434445464748494A4B4C4D4E4F
505152535455565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F7071727374757677
78797A7B7C7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9F
A0A1A2A3A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7
C8C9CACBCCCDCECFD0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEF
F0F1F2F3F4F5
>
<
03030303030202020202020202020202020202020202020202020202020202020202020202020202
02020202020202020202020202020202020202020202020202020202020202020202020202020202
02020202020202020202020202020202020202020201010101010101010101010101010101010101
01010101010101010101010101010101010101010101010101010101010101010101010101010101
01010101010101010101010101010101010101010101010101010101010101010101010101000000
00000000000000000000000000000000000000000000000000000000000000000000000000000000
000000000000
>
1 %_Br
<
0D0D0E0F0F10101111121313141415161617171819191A1A1B1C1C1D1D1E1E1F2020212122232324
2425262627272828292A2A2B2B2C2D2D2E2E2F30303131323333343435353637373838393A3A3B3B
3C3D3D3E3E3F3F404141424243444445454647474848494A4A4B4B4C4C4D4E4E4F4F505151525253
54545555565757585859595A5B5B5C5C5D5E5E5F5F60616162626363646565666667686869696A6B
6B6C6C6D6E6E6F6F70707172727373747575767677787879797A7B7B7C7C7D7D7E7F7F8080818282
8383848585868687878889898A8A8B8C8C8D8D8E8F8F90909192929393949495969697979899999A
9A9B9C
>
<
08090A0B0C0D0E0F0F101112131415161718191A1B1C1D1E1F202122232425262728292A2B2C2D2E
2F303132333435363738393A3B3C3D3E3F40404142434445464748494A4B4C4D4E4F505152535455
565758595A5B5C5D5E5F606162636465666768696A6B6C6D6E6F70717172737475767778797A7B7C
7D7E7F808182838485868788898A8B8C8D8E8F909192939495969798999A9B9C9D9E9FA0A1A2A2A3
A4A5A6A7A8A9AAABACADAEAFB0B1B2B3B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C3C4C5C6C7C8C9CACB
CCCDCECFD0D1D2D2D3D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBECEDEEEFF0F1F2
F3F4F5
>
<
F2F1F0EFEEEDECEBEAE9E8E7E6E5E4E3E2E1E0DFDEDDDCDBDAD9D8D7D6D5D4D3D2D1D0CFCECDCCCB
CAC9C8C7C6C5C4C3C2C1C0BFBEBDBCBBBAB9B8B7B6B5B4B3B2B1B0AFAEADACABAAA9A8A7A6A5A4A3
A2A1A09F9E9D9C9B9A999897969594939291908F8E8D8C8B8A898887868584838281807F7E7D7C7B
7A797877767574737271706F6E6D6C6B6A696867666564636261605F5E5D5C5B5A59585756555453
5251504F4E4D4C4B4A494847464544434241403F3E3D3C3B3A393837363534333231302F2E2D2C2B
2A292827262524232221201F1E1D1C1B1A191817161514131211100F0E0D0C0B0A09080706050403
020100
>
<
00000000000000000000000000000000000000000000000000000000000000000000000000000000
00000000000000000101010101010101010101010101010101010101010101010101010101010101
01010101010101010101010101010101010101010101010101010101010101010101010101010101
01010101010101010101010101010101010101010101010202020202020202020202020202020202
02020202020202020202020202020202020202020202020202020202020202020202020202020202
02020202020202020202020202020202020202020202020202020202020202020202020202020303
030303
>
1 %_Br
[
1 0.87 0 0 1 50 95 %_Bs
0 0.63 0.96 0 1 50 65 %_Bs
0.61 0.96 0 0.01 1 50 35 %_Bs
0.05 0.03 0.95 0 1 50 5 %_Bs
BD
%AI5_EndGradient
%AI5_End_NonPrinting--
%AI5_BeginPalette
0 0 Pb
0 0 0 0 k
Pc
0 0 0 1 k
Pc
0 0.45 0.6 0 k
Pc
0 0.5 0.05 0 k
Pc
0 0.9 1 0 k
Pc
1 0.2 1 0 k
Pc
1 0.4 0.15 0 k
Pc
0.2 0 1 0 k
Pc
0.25 1 0.25 0 k
Pc
0.4 0.4 0.4 0 k
Pc
0.4 0.7 1 0 k
Pc
0.75 0.9 0 0 k
Pc
1 0 0.55 0 (Aqua) 0 x
Pc
1 0.5 0 0 (Blue) 0 x
Pc
0.5 0.4 0.3 0 (Blue Gray) 0 x
Pc
0.8 0.05 0 0 (Blue Sky) 0 x
Pc
0.5 0.85 1 0 (Brown) 0 x
Pc
1 0.9 0.1 0 (Dark Blue) 0 x
Pc
1 0.55 1 0 (Forest Green) 0 x
Pc
0.05 0.2 0.95 0 (Gold) 0 x
Pc
0.75 0.05 1 0 (Grass Green) 0 x
Pc
0 0.45 1 0 (Orange) 0 x
Pc
0.15 1 1 0 (Red) 0 x
Pc
0.45 0.9 0 0 (Violet) 0 x
Pc
Bb
2 (Black, White) -4220 4422 0 0 1 0 0 1 0 0 Bg
0 BB
Pc
Bb
2 (Chrome) -4220 4422 0 0 1 0 0 1 0 0 Bg
0 BB
Pc
Bb
2 (Green, Blue) -4220 4422 0 0 1 0 0 1 0 0 Bg
0 BB
Pc
Bb
2 (Orange, Green, Violet) -4220 4422 0 0 1 0 0 1 0 0 Bg
0 BB
Pc
Bb
2 (Pink, Yellow, Green ) -4220 4422 0 0 1 0 0 1 0 0 Bg
0 BB
Pc
Bb
2 (Purple, Red, Yellow) -4220 4422 0 0 1 0 0 1 0 0 Bg
0 BB
Pc
Bb
2 (Rainbow) -4220 4422 0 0 1 0 0 1 0 0 Bg
0 BB
Pc
Bb
2 (Steel Bar) -4220 4422 0 0 1 0 0 1 0 0 Bg
0 BB
Pc
Bb
0 0 0 0 Bh
2 (White & Red Radial) -4220 4422 0 0 1 0 0 1 0 0 Bg
0 BB
Pc
Bb
0 0 0 0 Bh
2 (Yellow & Orange Radial) -4220 4422 0 0 1 0 0 1 0 0 Bg
0 BB
Pc
Bb
0 0 0 0 Bh
2 (Yellow & Purple Radial) -4220 4422 0 0 1 0 0 1 0 0 Bg
0 BB
Pc
Bb
2 (Yellow, Violet, Orange, Blue) -4220 4422 0 0 1 0 0 1 0 0 Bg
0 BB
Pc
(Arrow1.2.out/in) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Arrow1.2.side) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Bricks) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Checks) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Confetti) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(DblLine1.2.inner) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(DblLine1.2.outer) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(DblLine1.2.side) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Diamonds) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Hexagon) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Laurel.inner) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Laurel.outer) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Laurel.side) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Leaves-fall) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Polka dots) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Random circles) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Rope.side) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Scales) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(SolidStar.side) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Stars) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Stripes) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(TriBevel.outer) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(TriBevel.side) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
(Waves-scroll) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
1 1 1 1 k
Pc
1 g
Pc
0 g
Pc
0.75 g
Pc
0.5 g
Pc
0.25 g
Pc
0 g
Pc
Bb
2 (Black & White) -4220 4422 0 0 1 0 0 1 0 0 Bg
0 BB
Pc
0.25 0 0 0 k
Pc
0.5 0 0 0 k
Pc
0.75 0 0 0 k
Pc
1 0 0 0 k
Pc
0.25 0.25 0 0 k
Pc
0.5 0.5 0 0 k
Pc
0.75 0.75 0 0 k
Pc
1 1 0 0 k
Pc
0 g
Pc
0 0.25 0 0 k
Pc
0 0.5 0 0 k
Pc
0 0.75 0 0 k
Pc
0 1 0 0 k
Pc
0 0.25 0.25 0 k
Pc
0 0.5 0.5 0 k
Pc
0 0.75 0.75 0 k
Pc
0 1 1 0 k
Pc
0 g
Pc
0 0 0.25 0 k
Pc
0 0 0.5 0 k
Pc
0 0 0.75 0 k
Pc
0 0 1 0 k
Pc
0.25 0 0.25 0 k
Pc
0.5 0 0.5 0 k
Pc
0.75 0 0.75 0 k
Pc
1 0 1 0 k
Pc
(Yellow Stripe) 0 0 1 1 0 0 0 0 0 [1 0 0 1 0 0] p
Pc
0.25 0.125 0 0 k
Pc
0.5 0.25 0 0 k
Pc
0.75 0.375 0 0 k
Pc
1 0.5 0 0 k
Pc
0.125 0.25 0 0 k
Pc
0.25 0.5 0 0 k
Pc
0.375 0.75 0 0 k
Pc
0.5 1 0 0 k
Pc
0 0.25 0.125 0 k
Pc
0 0.5 0.25 0 k
Pc
0 0.75 0.375 0 k
Pc
0 1 0.5 0 k
Pc
0 0.125 0.25 0 k
Pc
0 0.25 0.5 0 k
Pc
0 0.375 0.75 0 k
Pc
0 0.5 1 0 k
Pc
0.125 0 0.25 0 k
Pc
0.25 0 0.5 0 k
Pc
0.375 0 0.75 0 k
Pc
0.5 0 1 0 k
Pc
0.25 0 0.125 0 k
Pc
0.5 0 0.25 0 k
Pc
0.75 0 0.375 0 k
Pc
1 0 0.5 0 k
Pc
0.25 0.125 0.125 0 k
Pc
0.5 0.25 0.25 0 k
Pc
0.75 0.375 0.375 0 k
Pc
1 0.5 0.5 0 k
Pc
0.25 0.25 0.125 0 k
Pc
0.5 0.5 0.25 0 k
Pc
0.75 0.75 0.375 0 k
Pc
1 1 0.5 0 k
Pc
0.125 0.25 0.125 0 k
Pc
0.25 0.5 0.25 0 k
Pc
0.375 0.75 0.375 0 k
Pc
0.5 1 0.5 0 k
Pc
0.125 0.25 0.25 0 k
Pc
0.25 0.5 0.5 0 k
Pc
0.375 0.75 0.75 0 k
Pc
0.5 1 1 0 k
Pc
0.125 0.125 0.25 0 k
Pc
0.25 0.25 0.5 0 k
Pc
0.375 0.375 0.75 0 k
Pc
0.5 0.5 1 0 k
Pc
0.25 0.125 0.25 0 k
Pc
0.5 0.25 0.5 0 k
Pc
0.75 0.375 0.75 0 k
Pc
1 0.5 1 0 k
Pc
PB
%AI5_EndPalette
%%EndSetup
%AI5_BeginLayer
1 1 1 1 0 0 0 79 128 255 Lb
(\203\214\203C\203\204\201| 1) Ln
0 A
0 O
0 g
800 Ar
2 J 0 j 1 w 2 M []0 d
%AI3_Note:
0 D
0 XR
101.7998 199.2002 m
106.2998 199.1001 111.2998 198.5 115.7998 197.8999 C
115.7998 197.7998 L
122.2998 196.2998 129.7998 194.7998 135.7998 191.6001 C
131.5 191.2002 127.8999 190.8999 124.1001 191.8999 C
122 189.7002 119.2002 194.1001 117.7002 190.7998 C
117 191.2002 115.5 192.3999 115.2002 191.2998 C
114.7998 191.1001 114.5 190.1001 113.8999 190.2998 C
112.3999 191.2002 112.1001 193.7998 110.3999 194 C
109.8999 193.1001 110.2002 192.3999 110.3999 191.7998 C
108.5 190.1001 107.7998 193.1001 106.2998 193.8999 C
105.3999 193.2998 105.5 193.1001 105.3999 192.1001 C
104.2002 192.1001 102.7002 193 102 193.7002 C
101.3999 192.2002 100.3999 192.5 99.2002 193 C
96.7998 195.1001 L
95.2002 195.2002 96.2998 193.5 95.6001 193 C
94.2998 193.1001 92.8999 194.1001 92.2002 195.3999 C
91.7998 195.2998 90.8999 195.7002 91 194.7998 C
91.2998 193.6001 91.2002 193.7998 90.7998 193 C
89 192.3999 87.7998 196 86.6001 193.2002 C
85.2002 192.6001 84.3999 194 82.8999 194 C
83.1001 193.1001 82 193.1001 81.3999 193 C
80.8999 193.1001 80.2002 193.2998 79.6001 193.6001 C
79 193.7998 78.6001 194.7002 77.7998 194.5 C
77.7002 193.7002 77.2002 193.2002 77.5 192.3999 C
76.3999 192.1001 75.2998 192.2002 74.2002 193.2002 C
72.8999 193.2002 73.6001 191.2002 72.3999 191.3999 C
71.7998 191 71.2002 191.5 70.3999 191.8999 C
69.7002 192.2002 69.2998 191.3999 69.2002 190.8999 C
68.5 190.3999 67.1001 189.5 65.8999 190.2998 C
65.6001 190.6001 L
64.2998 187.7998 60.6001 190 58.6001 187.7002 C
58.2998 186.2002 56.8999 187.8999 55.7998 187.6001 C
52.8999 186.1001 51.6001 182 48 183.1001 C
47.7998 182.7998 L
47.6001 182.8999 L
42.1001 178.6001 36.1001 175.5 30.7998 171.2002 C
30.7998 171.6001 L
32.2002 172.7002 33.1001 174.2002 34.3999 175 C
35.2002 175.7998 36.7998 176.7002 37.7002 177.7998 C
37.7002 177.7002 L
38.3999 178.1001 39.3999 179.6001 40.2998 180 C
40.3999 179.8999 L
42 181.3999 44.8999 182.5 46.6001 184.1001 C
47.7002 184.3999 48.6001 185.2998 49.6001 185.6001 C
49.5 186.1001 50.1001 186.2998 50.3999 186.2002 C
50.2998 186.3999 L
52.3999 187.7998 55.2998 188.7998 56.8999 190 C
57.2002 189.7002 57.7002 189.8999 58 190.2002 C
58.5 190.5 59.5 191.1001 60.3999 191 C
61.3999 192.6001 63.5 192.3999 64.8999 193.1001 C
64.8999 193.2998 L
65.6001 193.2998 L
65.6001 193.6001 L
67.8999 194.1001 69.8999 194.8999 72.2002 195.3999 C
72.6001 195.7002 L
77.1001 196.3999 81.1001 197.7998 85.3999 198.3999 C
85.8999 198.3999 86.2998 198 86.6001 198.6001 C
86.6001 198.5 L
90.6001 198.7998 94 199.2998 98.2002 199.3999 C
99.2002 199 100.7002 199.2998 101.7998 199.2002 C
f
151.8999 193.7002 m
151.6001 192.6001 152.5 193.2998 152.5 192.5 C
152.2998 190.7002 152.3999 189.3999 153.6001 187.8999 C
153.7998 187.7002 L
154 187.5 155.3999 187.6001 155.8999 187.6001 C
161.2002 188.8999 167 187.8999 171.7002 188.5 C
171.7002 188.3999 L
173.6001 188 176.5 189.2002 178.1001 187.6001 C
178.2998 187.7998 L
179 186.8999 179.5 185.7998 179 184.7998 C
179.2998 183 179.2002 181.8999 179.2002 180.2002 C
179.2998 179.6001 178.7002 178.5 179.2998 178 C
179.6001 177.5 178.6001 177.1001 179.2998 176.6001 C
178.7002 175.6001 178.7998 174.5 179.2002 173.2998 C
179 173.2998 L
178.7002 171 179 169 178.8999 166.2998 C
178.5 166 177.7998 165 176.8999 165 C
176 165.2998 174.5 165.2002 174.3999 166.2998 C
174.5 167.1001 174.1001 168.2002 173.8999 168.7002 C
173.7998 170.5 174 172.6001 173.7998 174.6001 C
173.8999 174.6001 L
173.8999 181.2002 L
170.7002 183.7998 166.5 182.2998 163 182.5 C
161.2998 180.5 160.6001 176.3999 161.2998 173.6001 C
161.6001 173.5 L
160.5 173.1001 161.7998 172.7002 161.6001 172 C
162 169.7998 161.7002 168.7998 161.6001 166.3999 C
160.8999 165.7002 160.2002 165.1001 159.2002 164.6001 C
158.2002 164.7998 156.7002 165.3999 156.1001 166.7002 C
156.2998 167.3999 155.8999 168.1001 155.5 168.5 C
155.6001 170.1001 155.3999 172.1001 156 173.6001 C
155.5 174.2002 156.6001 174.8999 155.7998 175.2002 C
156.1001 175.7002 L
156.1001 180.3999 L
155.2998 181.2998 155.3999 182.3999 154 182.8999 C
152.5 183.5 151.1001 182.7002 149.5 182.6001 C
147.6001 182.7002 145.8999 183.3999 144.3999 182.2002 C
141.6001 179.5 142.8999 174.6001 143.2998 171.6001 C
143.2002 169.5 143.7998 167 142.2002 165.3999 C
141.3999 164.7002 140.2998 164.5 139.3999 164.6001 C
138 165.2002 139.6001 166.6001 138.2002 167.3999 C
138.2002 167.7998 139 168.2002 138.2002 168.5 C
138.3999 170.7998 138.5 173.3999 138 175.3999 C
138.2002 175.3999 L
138.2998 176.2998 138.2002 176.2002 138.1001 177.1001 C
138 177.1001 L
138.6001 178 137.2998 178.6001 137.7998 179.7998 C
137.3999 179.8999 L
137.5 179.8999 L
137.2002 180.6001 137.2002 181.5 136.7002 182.2002 C
133.5 181.7002 127 184.1001 125.5 179.2002 C
125.7002 177.8999 125.2002 175.6001 125.5 173.7998 C
125.3999 171.2002 125.2998 167.8999 125.2002 166.2002 C
125.2998 166.2998 L
125 166.6001 125.6001 164.7998 124.3999 165 C
123.5 164.5 121.8999 164.5 121 165 C
120.3999 166.1001 120.7998 168 120 169 C
120.2998 172.6001 119.3999 175.6001 120.3999 178.8999 C
120 178.7998 L
120.2002 179.7002 119.7998 180.2002 120.5 181.2002 C
120.5 183.3999 120.5 183.6001 120.5 186 C
121 186.6001 121.3999 186.2002 121.7998 187.1001 C
121.7998 187 L
122.5 188.5 123.8999 187 125.2002 187.1001 C
131.2002 187.8999 136.7002 187.2998 142.1001 187.8999 C
143.5 188.2002 144.8999 187.6001 146.2998 188.2998 C
146.3999 189.2002 146.6001 190.1001 146.8999 190.6001 C
146.7002 190.6001 147.2002 192.2002 147 192.6001 C
147.3999 193.3999 147.8999 194.2002 148.8999 194.7998 C
150.1001 195.2002 151.2998 194.1001 151.8999 193.7002 C
f
87.7002 185.2998 m
88.2998 184.7002 88.2998 184 88.1001 183.3999 C
87.6001 181.1001 L
87.7998 180.7998 87.6001 180 87.7002 179.6001 C
87.6001 179.7998 L
87 178.3999 87.2002 177.1001 87 175.7998 C
86.7002 174.8999 87.2998 174 86.6001 173.2002 C
86.8999 171.7998 86.6001 170.5 86.8999 169.3999 C
87.2998 168.8999 87 167.5 87.5 166.8999 C
87.7998 166.5 88.7998 166.5 88.8999 167.3999 C
88.8999 168.6001 L
89.1001 168.5 89.2002 168.7002 89.2998 168.7998 C
89 169.1001 L
89.6001 169.1001 88.8999 170 89.2002 170.3999 C
88.7998 170.7998 L
89.7998 170.7998 88.8999 171.6001 89.2998 172.1001 C
89 172.2998 L
89.7002 172.7002 89.2002 173.5 89.8999 173.8999 C
89.6001 174.5 L
89.7998 174.3999 89.7998 174.5 89.8999 174.6001 C
89.3999 176 90.6001 177.8999 90.7002 179.2998 C
91.1001 180.8999 92.2998 183.1001 92.5 184.2998 C
93.1001 185 L
93.5 184.7002 L
93.2998 182 92.8999 178.7998 92.8999 176.2002 C
92.3999 174.5 92.7002 173.3999 93.1001 172 C
93.3999 172 L
93 171.6001 L
93.8999 171.1001 93.5 172 94.1001 172.2998 C
93.3999 173.1001 95.1001 173.3999 94.3999 174.2002 C
94.3999 174.1001 94.5 174.1001 94.6001 174 C
94.5 174.7002 95.7002 175.7002 95.2998 177 C
96.3999 177.1001 94.8999 178.5 96.2002 178.6001 C
96.3999 179.8999 L
96.6001 179.6001 L
96.7002 181.1001 97.2998 181.7998 98 183.1001 C
97.6001 183.7002 98.5 184 98.5 184.3999 C
99 184.3999 L
99.1001 182.5 98.8999 180.8999 98.8999 179.2998 C
98.2998 177.5 98.7998 174.8999 98.2002 173.2002 C
98.5 172.6001 98.7998 172.2998 99.6001 172.2998 C
99.8999 173.5 100.5 174.2998 100.3999 175.2998 C
101.2998 175.8999 101.2002 177.6001 101.5 178.2998 C
101.7002 178.5 102 178.2998 102.1001 178.6001 C
101.7998 179.3999 102.7002 180.7002 103.2002 181.3999 C
102.8999 182.3999 103.7998 182.5 103.7998 183.2002 C
103.7998 181.7002 104.7998 180.6001 103.7998 179.3999 C
104.1001 177.2998 103.2998 175.7002 104.2998 173.7998 C
104.6001 174 105 174.1001 104.8999 174.6001 C
104.8999 174.3999 105.2002 174.3999 105.2002 174.6001 C
105.3999 175 105.2998 175.6001 105.3999 176 C
105.5 175.8999 L
105.8999 176.2002 105.7002 177.2998 106 178 C
106.2002 177.8999 106.3999 178.1001 106.2998 178.2998 C
106.3999 178.6001 107.1001 179.2002 107 179.5 C
107.2002 179.3999 L
107.2002 180.1001 108.2998 180.1001 108.2002 181.1001 C
108.6001 181.7002 L
108.7998 181.3999 109 180.7002 109.3999 180.1001 C
108.7002 180 109.3999 179.2998 108.7998 179.2998 C
109 177.2998 107.6001 175.1001 108.7002 173.7998 C
108.3999 173.5 108.8999 173.2002 109.1001 173 C
109.7998 173.7002 110.6001 175 111.1001 176 C
111 176.7002 111.7998 177.2002 111.7002 177.7998 C
112.2998 177.7998 L
112.6001 179.5 L
112.6001 179.2998 L
112.8999 179.3999 113 180.1001 113.3999 180.6001 C
113.3999 180.5 L
113.7998 180.8999 114.5 180.2002 114.2002 179.7998 C
113.6001 178.3999 113.5 176.7998 113 175.2998 C
113.1001 174.3999 113.1001 173.6001 112.6001 172.8999 C
113.3999 172.2002 L
113.7002 172.5 114.2002 172.8999 113.8999 173.2998 C
115 173.3999 114.5 174.1001 115.2998 174.7998 C
115.3999 175.2002 116.2002 175.6001 116.2002 176.3999 C
116.5 172.2998 115.8999 168.2998 116.2998 164 C
116 163.7998 L
113.2998 165.8999 109 165.7002 105.5 165.7002 C
98 163.1001 91.5 155.5 82.8999 159.1001 C
80.2002 161.8999 77.6001 167.5 72.5 165.7002 C
65.2998 163.8999 58.5 157.2002 50.8999 154.7002 C
46.8999 153.7998 43.2998 151.8999 39.5 151.7998 C
35.6001 152.5 30.3999 152.8999 28 149.6001 C
27.6001 148 26.5 145.8999 26.7998 144.1001 C
25.8999 141.5 24.3999 139.5 22 139.1001 C
17.2002 137.2002 13.6001 133.3999 9.2002 130.7002 C
9 131 9.2998 131.7002 8.7998 131.8999 C
9.5 132.7998 L
9.7998 133 9.5 134.2002 10.3999 134.3999 C
10.1001 134.7998 L
10.8999 135 12.2998 134.7002 12.6001 135.7998 C
12.5 138.1001 L
12.6001 138.1001 L
12.6001 138.3999 L
13 138.3999 L
12.5 139 13.1001 138.2002 13.2002 139.1001 C
13.5 139.7998 12.5 140.7002 13.7002 141 C
14.2998 140.6001 14.7002 140.7998 15.5 141 C
16.1001 141.3999 15.7998 142.8999 15.7002 143.2998 C
14.7002 144 16 144.2998 16.2998 145.1001 C
17 144.7998 16.8999 143.7002 17.7998 144.2002 C
17.7998 144.1001 17.7002 143.8999 17.8999 143.8999 C
18.1001 144.2002 18.7998 144.5 18.7002 145.2002 C
18.5 146.3999 18.2002 147.8999 19.1001 148.7002 C
19.6001 147.8999 20.5 147.7002 20.6001 146.8999 C
21 146.8999 L
21.2002 149 L
21.3999 148.8999 L
21.3999 150.1001 21 149.3999 21.7998 150.5 C
21.3999 151.1001 L
21.7002 150.8999 21.7002 151.2998 21.7998 151.3999 C
21.6001 151.7998 21.6001 152.2002 21.7002 152.6001 C
21.7998 151.2998 22.7998 151.6001 23.2002 150.5 C
23.6001 150.3999 24.5 150.3999 24.5 151.2002 C
25.2002 151.8999 24.1001 152.7002 24.5 153.6001 C
24.1001 154 L
24.2002 154 L
24.3999 155.8999 L
25 155.6001 25.7998 153.1001 27 153.7002 C
27.2998 154.2998 27.1001 155.2002 27.3999 155.7998 C
26.8999 156.7002 27.2998 157.5 27.1001 158.7998 C
27.3999 158.2998 L
27.6001 158.5 L
28 158 L
27.7998 157.8999 L
29 156.5 L
28.8999 156.3999 L
29.3999 155.8999 L
30.2998 157 29.7002 159.3999 29.8999 160.8999 C
31.2998 159 L
31.3999 159.1001 L
31.2002 158.5 32.3999 158.2002 32.6001 157.7002 C
33.3999 158.2998 L
32.8999 158.7998 33.2998 161.1001 32.5 161.6001 C
32.8999 162.5 32.1001 162.6001 32 163.3999 C
32.2002 163.2998 L
32.3999 163.5 32.2002 163.8999 32.2998 164.2002 C
32 164.2002 L
32 164.8999 L
32.3999 164.2998 L
32.6001 164.7998 L
32.6001 163.2998 33.8999 163.7002 34.1001 162.3999 C
34.2002 162.5 L
34.5 161.1001 35.3999 160.3999 35.8999 159.1001 C
35.8999 158.5 36.2998 157.8999 36.7998 157.7998 C
37.8999 158.3999 37.2998 159.7998 37.2998 160.8999 C
37.2998 161.5 L
37.2002 161.3999 L
36.6001 161.7998 37.5 163.6001 36.3999 164 C
36.3999 167.2998 L
36.6001 166.7998 36.5 166.6001 36.7002 166.1001 C
36.8999 166.1001 36.7998 166.2998 36.7998 166.3999 C
38.7998 163.8999 39.2002 160.8999 42 159.1001 C
42.5 160.2002 41.7998 162.3999 41.3999 163.7998 C
41.2002 163.7002 41.2998 163.3999 41.2998 163.2002 C
41.7002 164.7002 40.5 165.5 40.6001 167 C
40.1001 167.2002 40.5 167.5 40.6001 167.7998 C
40 168.2002 40 169.2998 40.1001 169.8999 C
41.3999 170.3999 41 168.2002 41.8999 168.1001 C
42 167.2998 42.3999 166.7998 43 166.3999 C
43.2998 166.2002 42.3999 165.2002 43.3999 165.3999 C
43.1001 165 43.7998 164.7998 43.7998 164.5 C
44 164.5 L
44.2002 163.7002 45.5 161.7998 46.2002 161.5 C
46.2002 160.8999 46.7998 160.6001 47.2998 160.2998 C
47.8999 160.7998 47.5 161.7998 47.7998 162.1001 C
47.2002 162.3999 47.7998 162.7998 47.3999 163.2002 C
47.5 163.2002 L
47.2002 164.1001 46.6001 164.2998 47 165.3999 C
46 165.2002 47 166.2998 46.2002 166.7002 C
46.2998 167.3999 45.3999 168.8999 45 169.7002 C
44.7002 170.7998 45.2998 172.1001 44.8999 173 C
45.2002 173.1001 45.3999 173.3999 45.6001 173.6001 C
45.7998 173 L
46.1001 173.2998 L
45.7998 172.2998 47.1001 172 46.7998 171 C
47.5 170.1001 47.7002 169.3999 48.5 168.1001 C
48.1001 167.5 49.3999 167.2998 49.2998 167 C
49.3999 167.2002 49.3999 165.3999 49.8999 166.1001 C
50.7002 165.1001 50.7998 163.2002 52.1001 162.7002 C
52.6001 162.7002 52.6001 163.7002 52.6001 164 C
51.6001 165.5 52.3999 167.7002 51.5 169.3999 C
51.3999 170.6001 50.7002 172.2998 51.1001 173.2002 C
50.8999 173.3999 L
51.2998 173.8999 50.6001 175.1001 51 175.8999 C
52.6001 175.8999 52.6001 173.7002 53.7998 172.6001 C
53.7998 171.7002 53.8999 170.1001 55 169.2998 C
54.6001 169 55 168.7002 55.1001 168.3999 C
55.3999 168.2998 55.2998 167.3999 55.7998 167.2998 C
55.8999 166.8999 56 166.1001 56.8999 166.1001 C
57.1001 166.2998 57.7002 166.2998 57.5 166.7998 C
57.6001 166.7998 57.7002 166.7002 Y
58.1001 167 57.7998 167.2998 57.6001 167.5 C
57.7002 167.5 L
57.6001 167.8999 L
57.7002 167.8999 57.7998 167.7998 Y
57.7998 168.5 L
57.5 168.5 L
57.6001 168.1001 57.8999 169.2002 57.5 169.2998 C
57.5 169.7002 57.3999 170 57.2002 170.2998 C
57.3999 170.2002 L
57.1001 170.7002 57.5 171.1001 56.8999 171.6001 C
57 171.6001 L
57.5 173.3999 55.6001 175.2002 56.6001 177.1001 C
56.6001 177.8999 56.2002 178 56.5 178.7998 C
58.2002 179.5 57.7002 177.1001 58.2998 176.2998 C
58.3999 176.3999 L
58.7002 175.8999 58.7998 175 59.2002 174.7998 C
59.6001 174.2002 58.2002 173.5 59.3999 173 C
59.5 173.2002 L
59.5 172.6001 60.7002 171.7998 60.5 171 C
60.8999 170.8999 60.7002 170.2002 61 169.8999 C
61.7998 169.1001 L
62.2998 169.2002 62.2002 169.7002 62.2998 170 C
61.8999 170.5 62.3999 170.8999 62.2002 171.5 C
62.2998 171.5 L
62.3999 172.1001 62.1001 173.1001 62.3999 173.8999 C
62 174.2002 L
62.8999 176.2002 61.7998 178.3999 62.2998 180.6001 C
62.7998 180.1001 62.8999 181.1001 63.3999 181 C
63.7002 179.3999 64.2002 178.2998 64.2998 176.6001 C
64.3999 176.7998 L
64.2002 175.6001 65.2002 174.2998 65.2998 172.8999 C
65.6001 172.8999 L
65.5 172.2998 65.7002 171.8999 65.8999 171.3999 C
66.2998 171.1001 67 171.6001 66.7002 172.1001 C
67 171.7998 L
67.2998 172.8999 67.2998 175.1001 67 176.2998 C
67.3999 177.3999 67.2002 178.7998 67.1001 180.1001 C
67.2002 180.1001 67.3999 180.1001 67.3999 180.2002 C
67.1001 180.5 67.8999 180.2998 67.7002 180.7998 C
67.7002 181.3999 L
67.8999 181.3999 68 181.7002 68.2002 181.7998 C
68.2002 182 67.6001 182.3999 68.2002 182.3999 C
69.1001 182 68.7002 180.5 69.5 179.5 C
69.3999 177 70.1001 175.6001 70.2002 173.2002 C
70.2998 173.1001 70.3999 173.2002 70.3999 173.2998 C
70.2998 173.2998 70.6001 172.7998 70.8999 172.6001 C
71.7002 172.6001 71.1001 172.6001 71.6001 172.3999 C
72.1001 173 72.1001 173.5 72.1001 174.2002 C
72.3999 174 L
72.6001 174.2002 L
72.2002 175 72.7998 176.3999 72.6001 177 C
73.1001 178.2998 72.7998 179.1001 73.6001 180.2002 C
73.2002 180.2002 L
73.6001 181 73.2002 182.5 74.2002 183 C
74.2002 183.7998 L
74.5 184.2002 74.8999 183.6001 75.2002 184 C
75.1001 182.2002 75.8999 180.2998 75.7002 178.3999 C
75.7002 178.6001 75.7998 178.7998 75.6001 178.8999 C
75 177.2002 76.1001 175.7002 76.1001 174 C
76.3999 173.7998 76.7002 173.6001 76.7998 173.2002 C
77.5 173.3999 77.2998 173.8999 77.5 174.6001 C
77.7002 174.6001 77.8999 174.5 78 174.7002 C
77.5 174.7998 77.8999 175.6001 78.1001 175.8999 C
77.8999 176 77.8999 176.2998 78.1001 176.3999 C
78.3999 177.3999 78.6001 178.2998 78.5 179.3999 C
78.6001 179.2998 L
79.2998 180.7002 79 181.7002 79.7998 182.7998 C
80 183.6001 80.7002 184.2998 80.7998 184.8999 C
81.1001 181 80.6001 176.7002 81 172.8999 C
81.1001 173 81.1001 173.1001 81.2002 173 C
81.1001 172.6001 81.2002 172.5 81.2002 172 C
81.1001 172.1001 81 172.1001 V
80.5 171.7002 81.3999 171.7002 81.2002 171.3999 C
81.1001 170.8999 81.3999 170.3999 81.5 169.8999 C
81.7998 169.7998 L
81.3999 169.6001 L
82.2002 168.7002 L
82 168.5 L
82.1001 168.3999 82.1001 168.2002 82.2998 168.2002 C
83.1001 168.6001 82.2998 169 82.8999 169.2998 C
83.2002 170.7998 83.3999 171.3999 83.5 172.6001 C
83.7998 173.6001 84.1001 174.3999 84.1001 175.3999 C
83.7002 176.1001 84.8999 176.5 84.5 177.3999 C
84.7998 178.7998 85.7998 180 85.7002 181.3999 C
85.7998 181.2998 L
86.1001 181.7002 86.2002 182.3999 86.2998 182.7998 C
86.5 183.3999 87.2998 184.1001 87.1001 185.2998 C
87.3999 184.8999 L
87.7002 185.2998 L
f
106.2002 162.7002 m
106.2998 159.5 105.3999 156.8999 106.7998 154.2998 C
108.5 153.1001 107.7002 155.6001 108.3999 156 C
108 156 L
108.3999 156.5 L
108.7002 157.2002 107.5 158.2002 108.2002 159 C
107.8999 160.7998 108.1001 161.7998 109.6001 162.7998 C
109.8999 159.7002 110.3999 158 110.5 154.7002 C
110.7998 154.7002 L
110.7002 154.1001 110.7002 153.2002 111.2002 152.8999 C
111.7998 152.7002 111.1001 151.8999 111.7998 151.8999 C
112.2002 152.2998 L
112 152.2998 112.1001 152.5 112.1001 152.6001 C
112.2002 152.5 L
112.2998 152.7998 113.1001 153.1001 112.3999 153.5 C
112.7998 153.5 L
112.7002 155.2002 113.5 157 113.2998 158.7998 C
113.7998 160.6001 L
113.3999 160.6001 L
114 161.2002 L
114.3999 160.3999 115.2998 160.7002 115.3999 160 C
115.7998 159.5 116.2998 158.7002 116.2998 158 C
116 156.7002 117 155.2002 116.2002 154 C
115.8999 150.7002 116.7998 148.8999 116 146 C
111.1001 148.7998 106 147.3999 101.2998 145.1001 C
96.2002 143.1001 92.1001 139.5 86.8999 140.3999 C
84.3999 140.2002 82.6001 141.8999 80.6001 143.2002 C
79.6001 144.3999 78.2998 146.7002 76.2002 146 C
72.1001 145.3999 70.6001 141.3999 67.6001 139.3999 C
67.3999 139 L
65.7998 136.8999 62.7998 135.2998 60.7002 134.2002 C
60.6001 134.2998 L
58.7002 133.2002 55.6001 132.1001 53.2998 131 C
52.6001 130.2998 50.2998 129.6001 49.6001 128.2998 C
47.8999 126.7002 46.7002 123.7998 47.3999 121.6001 C
46.5 119.6001 48.7002 118.8999 47.2998 117.2002 C
45.7002 113.7002 40.8999 112 38.2998 109.7002 C
36.1001 108.7998 34.7998 107.2998 33.7002 105.7002 C
33.8999 106.7002 32.7998 107.7002 33.7002 108.3999 C
33.7002 109 L
33.7998 109 34 109 34.1001 108.7998 C
33.7998 110.7998 36.3999 109.3999 35.7998 111.5 C
35.8999 111.5 L
35.8999 112.8999 L
36.8999 113.2002 38 113.1001 37.6001 114.3999 C
36.7002 114.6001 37.2998 115.8999 36.3999 116 C
36.2002 116.5 36.7002 116.6001 36.7998 116.8999 C
37.6001 117.2998 39.1001 115.7002 39.1001 117.2002 C
40 117.5 38.8999 118 39.1001 118.8999 C
38.7998 120.1001 L
39 119.8999 L
39.1001 120.5 L
40.1001 120.2998 40.7002 118.2998 41.6001 119.3999 C
41.3999 120 L
41.8999 120.1001 41.5 120.3999 41.7998 120.6001 C
40.8999 121 41.5 123.2998 40.8999 124.1001 C
40.7002 124.1001 L
40.7002 123.8999 41.3999 124.2998 41.2002 124.7002 C
41.8999 124.6001 42.6001 122.6001 43.6001 122.7998 C
42.7998 122.7998 44 124.3999 43.1001 124.7998 C
43 125 43.2002 125 43.2998 125.2002 C
43.2002 125.3999 43 125.2002 42.7998 125.2998 C
43.2002 126.7002 42.1001 127.2998 42.7998 128.6001 C
43.1001 128.6001 43.2998 128.7002 43.3999 128.8999 C
43.7002 128.6001 L
43.8999 129.1001 43.8999 128.7002 44.2998 128.6001 C
44.6001 128 44.2998 127.1001 45.2002 126.7998 C
45.1001 126.2998 45.7002 126.2002 46 126.1001 C
46.6001 126.1001 46.2998 126.7998 46.7002 127.1001 C
46.6001 127.5 45.7998 127.7002 46.3999 128 C
46.1001 129 46 130.6001 45.6001 131.3999 C
46.1001 131.6001 L
45.7002 131.8999 45.7002 132.7002 46.1001 133 C
46.7998 133.2998 47.6001 132.1001 48.2002 133.1001 C
48.1001 133.7002 48.3999 134.3999 48.5 134.8999 C
48.5 135.1001 48.3999 134.6001 48.6001 134.6001 C
48.6001 135.2002 49 136 48.3999 136.2998 C
48.5 136.2002 48.6001 136.2002 V
48.7002 136.2998 48.7002 136.3999 48.7002 136.6001 C
49.7002 136.2002 50.2002 135.1001 50.7998 134 C
51.2002 134.2002 L
51.2002 134 51.2998 134 51.3999 133.8999 C
51.8999 134.2002 51.7998 135.1001 52.1001 135.6001 C
51.6001 136.5 52.3999 136.8999 51.5 137.3999 C
52 138.7002 51.5 139.3999 51.5 140.6001 C
52.2002 140.7002 52.7998 140 52.7998 139.2998 C
53.2002 139.3999 L
53.1001 139.1001 53.3999 138.8999 53.2998 138.6001 C
53.6001 138 54.1001 138.7998 54.5 138.3999 C
55.2998 140.2998 54.5 140.7002 54.1001 142.3999 C
54.6001 142.5 54.1001 143.3999 54.7998 143.2998 C
54.7002 143.2002 L
55.5 142.2002 56.2998 141 56.7998 140 C
57.1001 140.2998 57.6001 139.2998 58 140 C
58 141.7002 57.8999 141.2998 58.1001 142.7002 C
58.2002 142.7002 L
57.7998 143.1001 58.5 143.8999 57.7998 144.3999 C
57.8999 144 58.7002 145.3999 58.1001 145.6001 C
58.1001 146 58.7998 145.7998 59 145.7002 C
59.1001 144.7002 60.1001 144.5 60 143.5 C
60.6001 143.2998 60.1001 141.2002 61.3999 142.2998 C
61.8999 143.6001 61.2998 144.7998 61.7002 146.3999 C
61.6001 146.3999 L
61.8999 147.3999 61.7002 148.2998 61.7998 149.7998 C
63.5 148.6001 63.2002 147.2998 64.3999 145.7998 C
65.2002 145.8999 64.8999 146.7002 65.2998 147.1001 C
65.2998 147.5 64.6001 148.2998 65 148.7002 C
64.6001 149 65 149.2998 65.2002 149.6001 C
65.2002 151.2998 L
65.2998 151.2998 65.3999 151.5 65.5 151.6001 C
65.6001 151.7002 65.3999 151.7998 65.2998 151.8999 C
65.5 152.2002 L
65.8999 151.3999 67.2998 150.7998 67 149.6001 C
67.6001 148.8999 67.7002 147.5 68.5 146.6001 C
68.7002 146.6001 68.8999 146.2002 69.1001 146.5 C
69.1001 146.7998 L
69.5 146.5 68.8999 145.8999 69.6001 146.2002 C
69.7002 146.6001 L
69.7998 146.5 L
69.7002 147.5 70.8999 147.8999 70.3999 148.8999 C
70 149.6001 L
70.1001 149.7998 70.6001 149.7002 70.3999 150.1001 C
70 149.6001 70.2998 150.6001 70.1001 150.7002 C
70.2002 150.6001 L
70.2998 150.7002 70.2998 150.7002 70.2998 150.7998 C
69.3999 151.2002 69.8999 152.8999 70.1001 153.7998 C
70.2002 154.1001 70.3999 154.2998 70.2998 154.7002 C
71.3999 154.7002 70.7998 153 71.7998 153 C
72.1001 152 72 150 73.2998 149.5 C
74.1001 150.1001 73.2998 151.2998 74 151.8999 C
73.7002 151.8999 L
74.5 152.5 74 153.7002 74.2002 154.7002 C
74.2998 154.6001 74.3999 154.7998 74.5 154.8999 C
74.5 155.2002 74.2002 155.1001 74.2002 155.3999 C
74.2002 155.7998 74.5 156 74.7998 156.3999 C
76 154.3999 75.2002 152.8999 76.7998 151.2002 C
77.7002 151.6001 77.5 151.8999 78 152.5 C
77.7998 154 77.6001 155.2998 78.2002 157 C
78.3999 156.7998 L
78.6001 157.2002 78.2998 157.6001 78.5 158 C
78.6001 157.2998 79.1001 157.1001 79.2002 156.5 C
79.6001 154.7998 79.6001 152.5 79.8999 150.7002 C
80 150.7998 L
80.7002 150.3999 79.7002 149.3999 80.8999 149.2998 C
81.3999 149.7002 81.2998 150.8999 81.2002 151.2002 C
81.3999 151.2002 81.6001 151.1001 81.7002 151.2998 C
81.3999 151.8999 L
82.2002 151.7002 81.7002 152.7002 81.7998 153.1001 C
82 153.1001 L
81.5 154.2998 82.5 154.8999 82.3999 156.2002 C
82.7002 155.7002 83.8999 154.8999 83.6001 153.6001 C
84.1001 153.1001 L
84.1001 149.5 L
84.5 149.5 L
84.7002 148.7002 84.2002 147.8999 85.1001 147.6001 C
85.2002 147.8999 85.7998 147.8999 85.6001 148.3999 C
85.8999 148.3999 L
86.2998 150.7998 85.6001 153.1001 86.5 155.2998 C
86.3999 155.3999 L
86.6001 155.6001 L
87.2998 154.7002 87.1001 153.7002 87.7998 153.1001 C
87.7998 151.2002 88.5 151.1001 88.3999 149.2998 C
89.2002 149.2998 88.8999 146.6001 90.1001 148.2998 C
90.1001 148.7002 L
90.3999 148.7002 L
90.7998 149.5 90 150.8999 90.7002 151.6001 C
90.5 151.7998 L
90.2998 151.7002 90.3999 151.6001 90.3999 151.3999 C
90 151.8999 90.7002 152.2002 90.7998 152.6001 C
90.5 153.8999 91.2998 155 91.6001 155.8999 C
92.2002 154.6001 92.2998 153.3999 92.3999 152 C
92.5 150.6001 93.1001 149.7002 93.5 148.2998 C
93.6001 148.3999 L
94.1001 147.6001 L
95.1001 148.2002 94.5 148.7002 94.7998 149.5 C
94.6001 150.2998 94.8999 151 94.7002 151.7998 C
94.7998 152.3999 95.3999 151.5 95.2998 152.2998 C
94.7002 152.5 95.3999 152.7998 95.2998 153.1001 C
95.6001 154.3999 96 155.7998 96.3999 157.2002 C
97 155.2002 97.3999 153.2002 97.8999 151.3999 C
98.1001 151.2002 98.5 151.2998 98.7998 151.2998 C
98.7998 152.2002 100.1001 153 99.5 154 C
99.5 155 L
99.6001 154.8999 L
99.6001 156 100.2998 157.2998 100.1001 158.6001 C
100.8999 159.1001 100.2998 159.7002 101 160.2998 C
101 160.3999 101.1001 160.5 101.2002 160.6001 C
102 159.7002 101.3999 158.2998 102.1001 157.3999 C
101.6001 157.1001 101.7998 156.3999 101.7998 155.7998 C
101.7998 155.6001 102 155.6001 102.1001 155.6001 C
102.1001 155.2002 102.2002 154.7998 102.5 154.3999 C
103 154.7998 103.3999 154.6001 103.7002 155.2998 C
103.3999 155.5 L
103.7002 155.5 L
103.7002 157.6001 L
103.7002 157.3999 103.8999 157.3999 104 157.3999 C
103.5 159 104.3999 160.1001 104.5 161.7998 C
104.7002 161.7002 104.8999 161.7998 104.8999 162 C
104.8999 162.3999 L
104.8999 162.2998 105 162.2002 105.1001 162.2002 C
104.6001 163 105.8999 162.7998 106.2002 162.7002 C
f
172 159.6001 m
172.2998 159.7998 L
172.2998 159.7002 L
172.7998 159.7002 173.3999 159.7998 173.7998 159.3999 C
174.1001 159.3999 175.1001 159.7002 175.7002 159.6001 C
176.2998 159.7998 178.2998 160.2998 178 159 C
178.3999 158.7002 178.5 158.2998 178.3999 157.7998 C
178.7998 157.7998 L
179.5 154 179.5 150.3999 179.2998 146.2998 C
178.7998 145.2998 178.7002 143.5 179.2998 142.7002 C
179.5 142.7002 179 142.6001 178.8999 142.3999 C
178.8999 142.1001 179.5 141.6001 178.8999 141.5 C
179.5 140.2002 179.7002 138.6001 178.7998 137.3999 C
177.7002 136.2998 L
173.2002 136.8999 169.6001 135.7998 165.2002 136.2998 C
164.6001 136.6001 163.7998 138.6001 163.7002 139.7002 C
164.8999 141.2998 167.5 141.2998 170.2002 141.2002 C
171.3999 141 172.2998 141.1001 173.5 141.6001 C
173.8999 141.2998 174.2998 141.7998 174.6001 142.1001 C
174.6001 142.2002 L
174.7998 142.2002 L
174.7002 143.2998 175.7002 144.7998 174.1001 145.3999 C
171 146.2002 167.3999 144.7998 164.3999 146.3999 C
164.6001 146.5 164.5 146.7002 164.5 146.8999 C
163.7998 147.2002 164.3999 148.7002 164.2998 149.3999 C
164.7998 149.1001 164.7998 150 165.3999 149.8999 C
165.2002 150 L
167.8999 151.7998 171.2998 149.6001 174.3999 150.5 C
175.5 151 174.5 151.8999 175.6001 152.3999 C
175.2002 152.3999 L
175.5 152.7002 175.5 153.5 175 153.7002 C
172.3999 155.7998 167.7002 153.7002 165.2002 155.5 C
164.7002 156.2998 164.2998 157.3999 164.2002 158.5 C
164.5 158.2998 164.7002 159.2002 165 158.7998 C
166.3999 160.2998 169.2998 159.7002 171.1001 160 C
172 159.6001 L
f
135.7002 157.1001 m
135.1001 153.6001 129.7998 154.8999 127.1001 154 C
126.2998 153.7998 124.2998 154 124.7002 152.5 C
123.8999 151.7002 125.1001 151.2998 125 150.3999 C
128.1001 149.2002 131.5 151.2002 133.8999 149.5 C
134.1001 149.2002 136.1001 148.2998 135.2002 147.1001 C
134.6001 147 134.6001 145.8999 133.6001 145.6001 C
130.7002 144.3999 127 146.6001 124.7998 143.5 C
124.2002 142.5 125.3999 141.7002 126 141.3999 C
127 141.2002 127.8999 140.6001 129 141 C
129 140.8999 L
130.6001 140.6001 133.2998 141 134.7998 140 C
134.5 139.3999 135.2998 139.7002 135.3999 139.2998 C
137 137 133.6001 136.7998 132.3999 136 C
129.6001 135.3999 126.2002 135.8999 123 136.1001 C
121.7998 135.8999 120.8999 137.1001 120.6001 138.2002 C
120.6001 139.3999 120.7002 140.5 120.1001 141.7002 C
120 141.6001 L
120.1001 143.7998 119.7998 144.8999 119.7998 147.6001 C
120.2002 147.5 L
120.2002 149.5 L
119.8999 148.8999 L
119.8999 150.3999 120.1001 152.2998 119.8999 153.7998 C
120.5 154.1001 120 154.8999 119.8999 155.3999 C
120.7002 155.2002 119.6001 156.1001 120.5 155.7998 C
119.8999 156.3999 120.7998 157.2998 121.1001 158.3999 C
121.2998 158.2002 L
121.3999 158.5 121.6001 158.7002 121.6001 159.1001 C
121.7002 159 L
122 159.6001 L
126.6001 157.5 132.1001 161 135.7002 157.1001 C
f
49.8999 150.5 m
49.7998 150.6001 L
49.6001 148.6001 46.7998 147.1001 45.6001 145.6001 C
44 146.1001 41.7998 146.1001 40.2998 145.1001 C
40 144.1001 41.6001 144.7002 41.8999 144.2002 C
42.7998 143.8999 43.6001 143.5 44 142.7002 C
43.3999 142.2998 42.2002 142.2998 41.8999 141.7002 C
41.6001 142 L
39 140.8999 L
39.5 139.6001 42 140 41.3999 138.7002 C
40.2998 137.7998 38.8999 137.2998 38 136.2002 C
38.7002 135.7002 39.5 134.8999 39.3999 133.8999 C
39.3999 134 L
38.1001 133.2002 36.7002 132.7998 35.2002 131.7998 C
35.2998 130.8999 36.3999 131 36.6001 130.2002 C
36.2998 128.6001 32.5 128.1001 34.7998 126 C
34.2002 125.7998 34.6001 124.8999 33.7998 124.8999 C
34 124.7998 L
33.2002 123.7998 31.6001 123.6001 32.3999 121.7002 C
32.8999 121.3999 L
32.6001 121.1001 32.5 121.6001 32.2998 121.2002 C
30.6001 120.3999 30.6001 117.7002 30.5 115.8999 C
30 115.2998 30 114.6001 29.5 114 C
29.7998 112.5 28.3999 111.3999 28.8999 110.2002 C
27.8999 111.2998 28.3999 113.5 28.2002 115.3999 C
28 115.3999 28 115.6001 27.7998 115.7002 C
28.2002 115.7002 L
27.8999 116.3999 28 116.5 27.7998 117.2002 C
28 117.1001 L
28.2002 117.6001 28.3999 119.2002 28.2998 120.6001 C
28.6001 120.6001 L
28.6001 121.1001 L
28.2998 121.1001 L
28.6001 121.8999 L
28.7002 121.7998 L
28.8999 123.1001 28.7998 124.1001 28.7998 124.8999 C
29 124.8999 L
29.3999 125.2998 28.6001 125.5 29.2998 125.6001 C
29.7002 126.5 28.7998 127.7002 29.8999 128.2002 C
29.5 128.5 L
29.6001 128.3999 L
30.2002 129.1001 29.7998 130 30.5 130.7002 C
30.1001 131.2002 L
30.6001 131.2002 30.2998 131.8999 30.3999 132.2002 C
30.6001 132.2002 30.7002 132.3999 31 132.6001 C
30.6001 133.5 31.5 134.5 31.6001 135.5 C
31.8999 136.5 31.8999 136.3999 32 137.6001 C
33 137.3999 32.2002 138.7998 32.6001 139.1001 C
31.7998 140 33.7998 140.1001 33.1001 141 C
33.3999 141.2002 33.2998 142.3999 34.2002 142.6001 C
34.2002 143.2998 L
34.2998 143.2002 L
34.7998 143.5 34.3999 144.7998 35.3999 145.1001 C
34.8999 145.3999 35.3999 145.7998 35.3999 146.2002 C
35.5 146.1001 35.5 146 35.6001 146 C
35.5 147.3999 36.7998 148.5 38 149.5 C
38.7002 148.8999 39 150.1001 39.6001 149.3999 C
39.8999 149.5 40.8999 149.1001 41.3999 149.2998 C
44.2998 149.5 47.6001 150.1001 50 151.3999 C
50 151.1001 49.6001 150.7998 49.8999 150.5 C
f
*u
159.5 158.2998 m
159.8999 155.5 151.6001 151.8999 158.2002 150.6001 C
158.7998 150.5 158.8999 149.7998 159.3999 149.5 C
160.1001 149.2002 159.8999 147.3999 159.7998 146.6001 C
159.1001 145.2002 155.6001 144.7998 156.1001 142.2998 C
157 141.7002 158.6001 141.1001 159 139.7002 C
159.6001 139.6001 L
159.6001 138.2002 159.2998 137.2002 158 136.2998 C
155.5 137.1001 152.8999 138.3999 150.6001 139.7998 C
146.2998 140.7998 145.1001 134.8999 140.8999 136.7002 C
140.6001 137.2002 140.3999 137.7002 139.8999 137.8999 C
139.5 140 142.2998 141.2002 143.7998 142.3999 C
143.6001 144 141.7002 144.7002 140.7998 145.8999 C
140.2998 146.5 140 147.6001 140.2002 148.3999 C
140.2998 148.2998 L
140.5 148.3999 140.6001 148.7998 140.3999 148.8999 C
139.8999 149 140.5 149.2998 140.5 149.5 C
140.7998 149.7002 141.1001 149.3999 141 150 C
141.7998 149.7002 141.7998 150.8999 142.2998 151 C
142.6001 151.5 144 152.2998 143.7998 153 C
142.2002 154.6001 139.5 155.5 140.2002 158 C
143 159.7998 145.6001 157 147.7002 156.2002 C
148.1001 155.8999 148.8999 155.5 149.7998 155.5 C
151 156.1001 152.2998 156.3999 153.5 157.3999 C
153.3999 157.6001 L
154.3999 157.6001 154.7998 158.8999 156.3999 158.8999 C
156.8999 159.2998 157.7998 159.1001 158.3999 158.8999 C
158.7998 158.2998 158.7998 158.5 159.5 158.2998 C
f
1 D
149 149.3999 m
147.6001 149.2002 147.7002 148.7002 146.7998 148 C
146.8999 147.1001 147.8999 146.7002 148.6001 146.5 C
149.8999 145.7002 151.2998 146.6001 152.7998 146.6001 C
152.6001 147 153 147.7002 152.8999 147.6001 C
152.7998 148.1001 152.7002 148.7002 152.2002 148.7998 C
151.2998 148.8999 150 149.7002 149 149.3999 C
f
*U
0 D
184.3999 145 m
184.8999 144.6001 185.2002 143.6001 185.7998 142.8999 C
186.7998 139.8999 189.1001 137.3999 189.2002 134.5 C
189.7002 133.7998 190.2998 132.6001 190.3999 131.5 C
190.7002 131.5 L
190.6001 129.8999 191.5 129.1001 191.5 127.2998 C
192.1001 125.7002 192.2998 123.2998 193 121.7998 C
193 119.5 194.1001 117.3999 193.7002 115.1001 C
194.2002 113.7998 194.1001 112.7998 194.2998 111.5 C
194.5 111.5 L
194.2002 105.2002 195.3999 100.2002 194.2002 93.7998 C
193.8999 90.6001 193.7002 88 193.3999 85 C
192.6001 84 193.2002 82.7998 192.7002 81.7998 C
192.3999 78.3999 191 74.5 190.2002 71 C
189.6001 70.7002 189.7998 69.7998 189.6001 69.5 C
188.8999 67.1001 187.6001 64.8999 187 62.2998 C
186 62.2002 186.7998 60.8999 186 60.7998 C
186.1001 60.5 185.8999 60.1001 185.6001 60.1001 C
184.2998 56.3999 182.2998 53.5 180.1001 50.2998 C
180.2002 49.7998 L
179.7002 49.7998 179.6001 49.2002 179.5 48.7998 C
178.1001 46.8999 176.5 44.8999 175.2002 43 C
175.2998 42.7998 L
173.2002 40.5 171.6001 38.5 169.3999 36 C
162.1001 28.2002 154.2998 21.5 144.6001 16.1001 C
129.8999 8.2998 113.3999 3.8999 97.1001 4.3999 C
96.7998 3.7998 96.5 4.7998 96.2002 4.3999 C
87.8999 3.7002 79.8999 6.7998 71.6001 8.7998 C
71.3999 8.5 L
69.6001 9.6001 67.2998 10 65.5 10.3999 C
55.7002 13.7002 45.5 19.1001 37.2998 26 C
37.2998 26.6001 36.3999 26.6001 36.3999 27.1001 C
33 29 31.2998 32.2998 28.7002 34.7998 C
28.8999 35.7002 28 34.8999 28.2998 35.6001 C
32.7998 32.2002 38.5 27.3999 43.7002 24.7998 C
47.2002 22.7002 51.1001 21 54.5 18.5 C
56.5 17.5 58.3999 15.2998 60.3999 13.8999 C
60.7998 13.3999 61.7002 14.2002 61.2998 14.6001 C
62.7998 15.7002 63.5 14.7998 64.7002 14 C
65.3999 13.2998 66.3999 11.2998 67.7998 11.7998 C
68.3999 13.3999 L
71.5 13.8999 72.3999 10.6001 74.5 9.6001 C
75 10.3999 74.2998 11.5 74.8999 12.1001 C
76.7998 11.7002 77.6001 10.7998 78.6001 9.1001 C
79.2998 9.6001 79.8999 10.1001 79.7998 10.8999 C
81.1001 10.7002 83.2002 9.7998 84 8.8999 C
84.5 9.5 84.6001 10 84.7002 10.7998 C
86.7002 10.6001 88.1001 8.3999 89.6001 8.3999 C
90.1001 10.7998 L
90.5 10.5 91.6001 9.8999 92.2002 10 C
93.6001 8.7998 94 7.2002 96.2002 7 C
97 8.7998 94.2002 9.6001 96.1001 11.2002 C
97.5 10.6001 98.5 9.6001 99.6001 8.6001 C
100.5 8.7998 100.1001 9.8999 100.1001 10.2998 C
99.7998 10.6001 99.2998 10.8999 99.6001 11.3999 C
101.2002 12 102.7002 10.1001 104.2998 8.8999 C
104.8999 8.7002 105.7002 8.5 106 9.2002 C
106 10.6001 104.7002 10.3999 104.2002 10.8999 C
104.2002 12.2002 105.2998 11.7998 106.1001 12.2002 C
106.5 11.7998 107.2002 11.6001 107.6001 11.5 C
108.7002 10.6001 L
109 10.8999 109.2002 10.2002 109.6001 10.2002 C
110.1001 9.7002 111.7002 8.2002 113 8.7998 C
112.3999 10.2002 111.3999 10.7002 110.5 11.8999 C
110.6001 12 L
110.2002 12.6001 L
111.5 12.6001 112.3999 13 113.7998 12 C
114.6001 11.7998 115.7002 11.6001 116.7998 11 C
117.2002 11.7998 116.6001 12.3999 116.2998 13.1001 C
115.7998 13.1001 L
115.8999 13.3999 115.6001 13.8999 115.2002 14 C
116.2002 14.2998 117.6001 14.6001 118.2998 13.8999 C
119.7998 13.7998 119.6001 13.8999 120.6001 13.2002 C
121.1001 13.2002 122 12.7002 122.6001 13.2002 C
121.8999 13.8999 121 14.7998 121 15.3999 C
121.2998 16.6001 123.1001 15.6001 124 15.7002 C
125.6001 15.1001 126.8999 13.3999 128.3999 14 C
127.7998 15.5 126.6001 16.2998 125.5 17.2998 C
127.5 18.1001 129.6001 16.8999 131 16 C
132.6001 16.2002 L
132.7002 18.1001 127.8999 19.1001 130.8999 20.6001 C
131.5 20.1001 132.7002 20.2002 133.3999 19.2998 C
134.6001 18.7998 136.1001 18 137.5 17.2002 C
137.7002 17.3999 138.1001 17.2002 138.2002 17.5 C
137.7998 19.3999 135.8999 20.2002 134.5 21.2002 C
134.6001 21.3999 L
134.6001 21.8999 133.8999 21.5 134.2002 22.2002 C
134.2002 22.1001 L
137.2002 22.1001 139.6001 19.7998 142.8999 20 C
142.6001 20.3999 L
142.7002 20.3999 142.8999 20.3999 142.8999 20.5 C
141.7002 22 139.5 22.7998 138.3999 24.7998 C
139.7998 25 L
139.7998 25.2002 L
141.7002 24.7998 143.7998 23 145.7002 23 C
146.1001 24.6001 143.7002 25.2998 142.8999 26.2002 C
142.6001 26.5 142.2002 26.7002 142.6001 27.2002 C
143.2998 27.5 L
145 26.7998 147.7002 26.3999 149.2998 25.8999 C
149.5 25.8999 150.2002 26.2998 149.7998 26.6001 C
148.2002 27.7002 146.2002 28.2002 145.7998 30 C
147.8999 29.7002 150.6001 28.7002 152.6001 28.6001 C
152.7998 28.7002 L
151.7002 30.7002 149.2998 30.5 148 31.8999 C
148.7998 32.6001 148.8999 32.2998 150 32.8999 C
150.5 32.7002 151.3999 32.2002 152.2002 32 C
154 32.3999 156.2002 30.8999 158.2998 31.8999 C
158.2998 32.1001 158.1001 32.2002 158 32.2998 C
157.7002 32.2002 L
157.8999 32.3999 L
156.2998 34.2002 152.2998 34.2002 151.7002 36.1001 C
152.7998 36 154.7998 35.8999 156 35.7998 C
157.6001 35.6001 159.2998 35 161 35.6001 C
161.2998 36.2998 160.6001 36 160.2998 36.3999 C
160 36.3999 L
160 36.7002 L
158.2002 37.3999 156.5 38.3999 155.2002 39.3999 C
158.6001 39.1001 162.1001 38.7998 165 38.7998 C
165.2002 39.6001 164.1001 39.8999 163.3999 40.1001 C
161.7002 40.7998 159.7002 41.2002 158.2998 42.3999 C
159.1001 42.7002 161 42.1001 161.5 42.7998 C
162.2002 42.3999 162.8999 42.3999 163.6001 42.7998 C
165.3999 42.5 167.2998 42.7002 169.1001 42.5 C
169.2998 42.7002 169.2002 43 169.2002 43.2998 C
168.7002 43.5 167.7998 44.5 166.6001 44.5 C
165.2002 45.1001 163.3999 45.2002 162 46.1001 C
161.7002 46.6001 162.2998 46.7002 161.7998 47.2002 C
163.8999 48 166.1001 46.5 168.2002 47 C
168.8999 46.6001 169.6001 47 170.3999 47.3999 C
168.8999 49.2998 166.5 48.3999 164.7998 50.2002 C
165.8999 50.6001 168.1001 51.1001 169.8999 50.5 C
170.2002 50.7002 170.3999 50.7998 170.6001 50.5 C
172.2998 50.2002 174.2998 50.3999 175.7998 50.2998 C
176.3999 50.6001 L
175.8999 51.3999 174.7002 52.1001 173.8999 51.7998 C
171.8999 53.1001 170 53.1001 167.6001 53.8999 C
167.2002 54.3999 167.7998 54.7002 168.1001 55.1001 C
171 55.5 174.1001 55.3999 177.2002 55.3999 C
177.8999 55.8999 177 56.5 176.7998 56.6001 C
175.2998 57.3999 172 56.8999 171 58.3999 C
170.3999 58.2998 170.5 59 170 59.2002 C
172.2998 60.2998 175 59.2998 177.3999 60.1001 C
177.2002 60.2002 L
177.7998 60.2002 178.2002 60.7002 178.7002 61 C
177 62.8999 174 61.3999 172.2002 63.3999 C
172.3999 63.8999 173.7998 63.6001 174.3999 63.7998 C
176.6001 64.2998 179.2998 64.1001 181.6001 65.2002 C
181.6001 66.1001 180.2002 66.2002 179.6001 66.3999 C
177.8999 66.7002 175.2998 66.2002 173.8999 66.7002 C
173.8999 67.1001 L
175.2998 67.2998 176.7998 68 177.7998 68 C
178 68.3999 178.8999 68.2998 179.3999 68.7998 C
180.8999 69 182.7002 69.1001 184.2002 69.6001 C
184.3999 70 L
182.7002 70.7998 181.3999 70.7998 179.3999 71.2998 C
178.2998 71.7998 177 71.2002 175.8999 71.3999 C
176.7998 73.7998 179.5 72.8999 181.2998 73.7998 C
182.3999 73.7998 183.1001 74.1001 183.6001 75 C
181.7998 77.1001 178.8999 74.5 177.2002 75.7998 C
176.7998 78.3999 181 77.2002 181.7998 78.6001 C
183.5 78.7998 185.2998 79.2998 186.7002 79.7998 C
187 80.2998 L
184.7002 81.1001 181.2002 81 178.7002 81 C
178.6001 81.6001 179.1001 82.2998 179.6001 82.7002 C
180.2002 82.7002 180.3999 83.1001 180.7998 83.2998 C
182.6001 84.2002 183.2002 83.7998 184.8999 85.1001 C
183.5 87.2002 181.2998 85.5 179.3999 85.3999 C
179.1001 86.3999 179.5 87.3999 180 88.2002 C
180.7002 88.2002 180.7002 88.7002 181.1001 89 C
183.7002 90.5 185.7998 91 188.5 92.2002 C
188.8999 92.7998 L
185.8999 94.7998 183.3999 91.3999 179.8999 92.3999 C
180.6001 92.7998 179.7998 93.5 180.2002 94.2002 C
181.6001 94.7998 183.2002 95.2998 184.2002 96.2002 C
184.8999 96.2998 185.7998 97.2002 186.6001 97.2998 C
188 97.5 189 98.6001 190.2998 99.1001 C
187.2002 100.7002 184.2998 97.7002 181 98 C
180.2998 99.5 180.7002 100.3999 182.2002 101 C
183.7002 101.7998 185.2002 102.6001 186.5 103.7002 C
186.5 103.6001 L
186.8999 103.2998 186.8999 103.8999 187.2002 104 C
187.2002 103.8999 L
188.1001 104.1001 188.2002 105.2998 189.6001 105.3999 C
189.7002 106 L
188.8999 106.2002 L
186 105.3999 183.3999 104.2998 180.5 103.8999 C
180.7998 104.6001 181.3999 105.3999 181.7998 105.5 C
182.3999 106.3999 183.6001 107.3999 185 107.5 C
185 108.1001 186 107.8999 186.3999 108.7998 C
185.7998 109.5 184.3999 108.8999 183.7002 109.7998 C
183.5 110.2998 184.6001 110.3999 184.2002 111.2002 C
184.7998 111.3999 184.8999 112.5 185.6001 112.6001 C
185.2002 112.8999 187.2002 114 185.3999 114.1001 C
184.1001 113.5 L
183.7998 114.7998 183.6001 116.1001 184.2002 117.3999 C
184.2998 117.2002 L
184.7998 117.8999 185.7002 117.7998 186.3999 118.7998 C
185.7998 119.3999 L
185.2998 119.2998 184.6001 119.1001 184.1001 119.2002 C
184.2998 121.5 184 123.3999 184.2998 125.8999 C
184 126.6001 184.5 127.6001 183.7998 128.2002 C
184.6001 128.7002 183.7002 129.2002 183.7998 129.7998 C
183.7002 129.7002 L
183.7998 130.7002 183.6001 132.1001 183.5 133.2998 C
183.2002 133.2998 L
183.5 133.8999 183.2002 134.6001 183.5 135 C
183.1001 135.2998 183.5 135.3999 183.2002 135.7002 C
183.5 136 L
183 136 L
183.2998 136.8999 182.8999 139.2002 183.2002 140.5 C
183.5 140.7998 L
182.8999 141.6001 183.6001 142.2998 183.1001 143.3999 C
183.7002 143.3999 183.5 144.7002 183.7002 145.1001 C
183 145.3999 183.7998 146.2002 183.6001 146.8999 C
183.7002 145.8999 184.2998 145.8999 184.3999 145 C
f
131.8999 132.7998 m
132.8999 132.5 134.2002 132.7002 135.3999 132.7998 C
135.3999 132.7002 L
138.8999 132.2002 141.7998 132.7002 145.1001 132.5 C
145.6001 132.6001 L
145.6001 132.5 L
146.2998 132.5 147.8999 132.7002 149 132.3999 C
150 133.2998 151 132 151.8999 132.6001 C
154.7002 132.7998 157 132.2002 160 132.6001 C
160 132.5 L
165.2998 132.2002 172.7002 132.5 178 132.2002 C
178.2998 131.6001 179.2002 131.2002 179.5 130.6001 C
179.2998 126 179.8999 120.5 179 116.2998 C
179.2002 115.2998 179.2998 114.2998 179.3999 113.5 C
178.7002 112 180.5 109.7998 177.7998 109.2002 C
176.7998 109 176 109.3999 175.2002 109.8999 C
175.1001 110.7998 174.8999 112.1001 175 113.2002 C
175 114 174.3999 116.6001 175.1001 118 C
175.3999 119.7998 174.2002 120.8999 175 122.6001 C
173.8999 122.7998 174.6001 124 174.6001 124.6001 C
174.6001 125.8999 173.7998 127.1001 173.2998 128.5 C
171.1001 129.3999 169.6001 128.2002 167.5 128.6001 C
165.2998 129 162.2998 129.1001 160 128.5 C
150.3999 128.3999 142.1001 127.7998 132.2002 128 C
132 127.7998 L
130.3999 127.8999 127.5 128.1001 126.2002 127.2002 C
125.8999 126.2002 125.7998 125.7002 125.5 124.8999 C
125.7002 123.8999 125.2998 122.7998 125.7998 121.8999 C
125.5 121.6001 L
126 121.3999 L
125.7002 120.2002 126.1001 118.6001 125.6001 117.5 C
126 116.7998 L
125.7998 116.7998 125.7998 116.6001 125.6001 116.5 C
125.7002 114.7002 125.5 113.1001 125.3999 111.3999 C
124.5 110.7002 125.7998 108.1001 123.7998 108.6001 C
122.6001 108.3999 121.2998 108.8999 120.6001 109.7998 C
120.7002 110.7002 120.3999 111.7998 120.6001 112.7998 C
119.8999 113.1001 121 113.2998 120.6001 113.7998 C
120.3999 115.5 120.5 117.6001 120.5 119.5 C
120.7002 119.5 L
120.2002 119.7998 120.7002 120.2998 121 120.7002 C
120.6001 120.7998 121 121.3999 120.7002 121.7002 C
120.2998 121.3999 121.5 122.1001 120.7002 122.2998 C
120.7998 122.2002 120.8999 122.2998 121 122.3999 C
120.7002 122.6001 L
120.8999 122.6001 121 122.7998 121.1001 122.8999 C
120.6001 122.7998 121 123.7998 120.7002 124.1001 C
120.7998 124.1001 L
121 125.5 120.7998 125.7998 120.7998 127.7002 C
120.6001 128.2998 120.7002 128.2998 120.7998 129.1001 C
121 129.1001 L
120.7002 130.2002 L
121 130.2002 L
120.1001 130.5 121.6001 131.2002 121.1001 131.6001 C
121.8999 132.1001 122.3999 132.1001 V
122.6001 131.8999 L
123.2002 132.3999 124 131.7998 124.2998 132.6001 C
130.2002 132.7998 L
130.2002 132.7002 L
131.8999 133 L
131.8999 132.7998 L
f
*u
135.3999 123 m
135.7002 122.7998 L
135.2002 122.3999 L
135.6001 121.8999 L
135.5 122 L
135.2998 121.8999 135.3999 121.7002 135.3999 121.6001 C
135.3999 121.3999 135.6001 121.3999 135.7002 121.3999 C
135 119.3999 135.5 117.6001 135.2002 115.2998 C
135.2002 114.3999 135.7998 114 136.1001 113.3999 C
136.2002 113.5 L
137.3999 111.7002 140.8999 112.8999 142.8999 112.7002 C
144 112.6001 144.7002 113.3999 145.8999 112.7998 C
146.5 113.3999 148.2002 113 147.5 114.2002 C
145.2998 117.2002 141.2002 113.2002 139.7998 117.2002 C
139.5 118.2002 139.3999 119.1001 139.3999 120.2002 C
139.3999 120.1001 L
139.7998 119.7998 139.6001 120.5 139.7998 120.6001 C
139.3999 120.6001 L
140.3999 120.8999 139.6001 121.8999 140.2002 122.5 C
140.1001 122.3999 140.2002 122.2998 140.2998 122.2998 C
140.3999 122.2998 L
139.8999 122.7998 140.8999 123.1001 140.3999 123.6001 C
140.8999 123.3999 L
140.7998 123.8999 141 124.7998 141.6001 124.8999 C
147.6001 124.8999 152.7998 124.5 158.8999 124.7002 C
159.6001 123.6001 160.3999 122.7002 160.1001 121.1001 C
160.2002 120.7998 160.3999 120.2998 160.3999 120.1001 C
160.3999 120.2002 L
159.7002 118.6001 160.7998 115.8999 157.7002 115.6001 C
155.8999 115.2002 154 115.5 152.8999 114.1001 C
152.8999 112.7998 154.5 113.3999 154.8999 112.6001 C
157.6001 112.3999 161 112.3999 164.2002 112.6001 C
165.2998 113.6001 164.5 115.2998 165.2002 116.2002 C
164.7998 116.7998 165.3999 117.1001 165 118.1001 C
164.7998 118 164.7998 118.2002 164.6001 118.2998 C
164.7002 119.2002 165 121.1001 165.2002 121.8999 C
164.8999 122.2998 L
165.8999 122.7002 164.2998 124 165.7998 124.2002 C
165.7002 124.7998 166.7002 125.5 167.2002 125.7998 C
167.6001 125.7998 L
167.7998 125.3999 168.3999 125.2998 168.7998 124.8999 C
169.7002 123.7998 L
169.8999 121.3999 169.6001 118.1001 169.8999 115.2998 C
169.7002 115.1001 L
169.7002 109.1001 L
164 107.7998 158.8999 108.6001 153.2002 107.8999 C
151.8999 106.2002 152.5 103.5 150.5 102.3999 C
149.3999 102.2998 148.2998 102.7998 147.6001 103.3999 C
146.8999 104.3999 148.1001 105.3999 146.8999 106.2002 C
147 106.7002 147.1001 107.1001 146.8999 107.6001 C
145.3999 108.3999 L
141 108.6001 136.3999 107.8999 131.5 108.3999 C
130.7998 110.1001 131 111.2002 130.3999 112.7998 C
129.8999 113.2002 131 113.8999 130.2002 114.2002 C
130.2998 116.3999 129.7998 119 130.7002 120.7998 C
129.6001 120.7002 131.3999 121.5 130.3999 121.8999 C
130.1001 122.3999 131 122.2002 130.6001 122.6001 C
130 124 130.8999 125 132.1001 125.7998 C
132.7002 125.7002 133.6001 126.2002 134 125.5 C
134.5 124.7998 135.3999 124.2998 135.3999 123 C
f
1 D
148.6001 121.1001 m
147 120.8999 145.2998 122.2002 144.1001 120.2002 C
143.6001 119.5 144.5 119.7002 144.7002 119.2002 C
147.7998 118.3999 152.1001 119 155.2998 119.2002 C
155.5 119.7998 L
155.2998 119.7998 L
155.2002 120.5 154.3999 120.6001 154.1001 121 C
152.2002 121.2002 150.6001 121.6001 148.6001 121.1001 C
f
*U
0 D
162.6001 105.7998 m
162.7998 105.1001 164 105.3999 164.2998 105.1001 C
165.7998 104 168.1001 102.1001 169.2998 100.6001 C
169.3999 99.8999 169.7002 98.7998 169.1001 98.7998 C
165.7002 100.3999 162.5 102.3999 159.7002 105 C
159.7002 105.1001 158.7998 105.1001 159.1001 105.5 C
160 105.7002 160.7002 105.6001 161.7998 105.6001 C
161.8999 106 L
162.2002 105.7998 162.2002 105.5 162.6001 105.7998 C
f
144.3999 104.5 m
144.5 102.7002 145.6001 100.2998 147.2002 99.3999 C
147.7002 99 148.2998 99.2002 148.6001 98.5 C
150.2998 99.5 151.8999 98.7998 153.1001 97.7998 C
153.7002 96.3999 156.1001 95.1001 157.2998 94.6001 C
157.5 94.2998 157.3999 94 157.3999 93.7002 C
157.6001 93.6001 158.5 92.7002 158.5 91.7998 C
158.8999 91.8999 159.2002 91.2998 159.2002 91 C
159.3999 91.1001 L
162.2002 87.6001 165.1001 84.7998 165.7998 80.2002 C
165.3999 79.7002 165.6001 78.7002 165.3999 77.8999 C
163.8999 78.2002 164 80 162.7998 80.8999 C
160.5 84 157.6001 87 154.3999 89.7998 C
153.6001 90.7998 152 92.2002 150.7998 93.1001 C
150.6001 92.7998 150.1001 92.5 150.5 92.2002 C
150.3999 92 150.6001 91.8999 150.7002 91.7998 C
151 92 L
151.5 90.7998 152.2998 89.6001 153.1001 88.6001 C
155.3999 85 L
155.5 85.1001 L
156.8999 83.6001 157.8999 81.1001 159 79.8999 C
159.1001 78.1001 161 77.8999 160.8999 76.1001 C
161.2002 76.1001 L
161.5 75.2002 161.6001 74.1001 162.2002 73.3999 C
162.2998 72.3999 163 72.1001 163 71 C
163 70 162.2998 69.7998 161.8999 69.1001 C
159.3999 72.6001 L
159.5 72.7002 L
154.2002 80.7002 148.7998 87.7002 141.5 94 C
141 94 L
140.8999 94.2998 140.2002 94.8999 139.7002 95.2002 C
139.2998 96 138.1001 95.5 138.1001 96.6001 C
137.8999 96.6001 L
137.7998 100.3999 L
138.3999 101 L
138 101.2998 L
138.3999 101.2998 L
138.3999 102.3999 138.2002 103.1001 139 103.8999 C
138.7002 104.7002 140.2998 104.7002 141.1001 105 C
144.3999 104.5 L
f
158.2998 101.2998 m
158.5 100.1001 159.7002 100.2002 160.3999 99.2002 C
163.2998 96.5 165.5 94.1001 168.1001 90.3999 C
167.7002 89.5 169 88 167.5 87.2002 C
166.6001 88.3999 164.7998 89.5 164.2002 90.7002 C
163.2002 91 162.7002 92.3999 161.6001 93 C
160.6001 93.6001 159.7002 95.2002 158.7998 95.8999 C
157.3999 97.5 155.2002 98.7998 154.2998 100.7002 C
155.2002 101.7998 L
155.1001 102 155.7002 102.6001 155.6001 103.2002 C
156.2998 102.7002 157.5 101.7998 158.2998 101.2998 C
f
136.7998 93.7002 m
136.8999 93.8999 137.5 93.2998 138 93.5 C
138.5 92.7998 139.6001 93.2002 140.2002 92.3999 C
142.1001 91.2998 142.6001 90 144.7002 88.3999 C
144.7998 88.6001 L
145.2998 87.7998 L
145.3999 88 L
145.8999 87.3999 146.7998 86.3999 147.2002 85.7002 C
148.1001 85.8999 147.3999 83.8999 148.7998 84 C
148.6001 83.6001 149.1001 83 149.2998 83.2998 C
150 81.5 151.2998 81 151.7998 79.1001 C
152 79.1001 L
151.6001 78.6001 152.3999 78.7998 152.2002 78.2002 C
152.6001 78.2998 152.5 77.6001 152.8999 77.2002 C
153 77.2998 L
153.2998 75.7998 154.7002 74.2002 154.8999 72.6001 C
155.2002 72.6001 L
155.5 70 157.2002 67.7002 157.3999 65.6001 C
157.8999 65.2998 158.2002 64.7002 158 64.1001 C
158.3999 64.2002 L
159.5 62.3999 157.8999 60.8999 157.2998 59.7998 C
157.2002 59.7998 157.1001 60.1001 157 60 C
156.7002 59.7998 L
156.3999 60.6001 156.1001 61.5 155.6001 62.2002 C
155.1001 63.5 154.8999 66.1001 153.5 67.1001 C
152.1001 69.7998 151 72.2002 149 74.5 C
149.2002 74.5 149.2002 74.6001 149.2998 74.6001 C
147.6001 76.7002 146.5 79 145 80.2998 C
144.3999 79.6001 L
145 77.7998 146.2002 76 147 74.2998 C
146.7998 73 147.8999 72.7002 148.2002 71.2002 C
148.5 70.8999 148.1001 69.7998 148.7002 70 C
149.2002 68.1001 150 65.8999 150.7002 63.6001 C
151 63.6001 L
150.7002 62.1001 151.8999 61.5 151.7998 60.1001 C
152.8999 57.7998 152.3999 57 153.7002 54.7002 C
153.5 54.7002 152.2998 53.2998 151.7998 52.7002 C
150.8999 52.8999 151.7002 54.1001 150.7998 54.2002 C
151 54.2002 L
151 54.3999 150.7002 54.7998 150.6001 55 C
150.3999 55.6001 150.1001 57.1001 149.3999 57.7002 C
149.5 57.7002 L
149.2002 58.6001 148.5 59.7998 148.1001 60.6001 C
148.2998 61 147.7002 61.5 147.7998 61.8999 C
147.5 61.8999 L
147.7998 63 147 63.2002 146.7998 64.2998 C
146.5 64.2998 L
146.2998 65.2002 146 65.5 145.7998 66.6001 C
145.6001 67.1001 L
145.3999 67 145.3999 66.7998 145.3999 66.7002 C
145.2002 68.2998 143.8999 68.6001 143.5 70.2002 C
143 70.2002 L
142.7998 69.6001 142.8999 68.8999 142.8999 68.2998 C
143.2998 68.3999 L
143.7998 66.7998 143.6001 65 144.5 64 C
144.5 62.7998 144.8999 62.2002 145.1001 61 C
145.5 60.7998 145.2998 59.2002 146 58.8999 C
145.7998 58.3999 146.5 58.1001 146 57.5 C
147 54.8999 147.3999 50.8999 148.3999 48.2002 C
146.5 46.2998 L
145.3999 48.7998 144.5 51.3999 143.7998 53.6001 C
143.3999 54.7998 142.6001 55.7998 142.2998 57.3999 C
142.1001 57.3999 L
142.2002 57.6001 142.2998 58.1001 142.2002 58.2998 C
140.8999 58.6001 142.2002 60 141.1001 60.2002 C
141.2002 60.8999 140.5 61.7998 140.2998 62 C
140.5 62.2998 L
139.7998 62.5 140.3999 62.7998 139.7998 63.2002 C
139.6001 63.7002 139.2998 64.2002 138.7998 64.6001 C
138.7002 64.3999 L
138.7002 64.2998 138.7998 64.8999 138.6001 65 C
137.2998 65 138.3999 64.2002 138.1001 63.5 C
138.3999 63.2002 L
138.5 63.2998 138.5 63.5 138.5 63.6001 C
138.2998 62.7998 138.7002 62.6001 138.6001 61.7998 C
139.2998 61.5 139.2002 60.6001 139.1001 59.8999 C
139.7998 59.8999 139.6001 58 140 57.6001 C
140.2998 56.3999 140 55.2998 140.7998 54.3999 C
140.7002 52.2998 141.3999 51.1001 141.2002 49.2002 C
141.8999 47.2002 141.7998 44.7002 142.2002 42.6001 C
142.2002 42.2998 142.2998 41.6001 141.7002 41.3999 C
141.1001 41.2998 L
141.2002 41.5 141 41.6001 140.8999 41.7998 C
141 41.7998 L
140.7002 43.5 140.2002 44.7002 139.3999 46.2998 C
139.1001 46.7998 139.7998 47.7002 138.7998 47.8999 C
139.3999 49.1001 138.1001 50 138.1001 51.2002 C
137.7998 52.6001 L
137.6001 52.3999 L
137 54.5 135.8999 57.3999 135 59.2002 C
135.3999 59.8999 134.2002 60.1001 134.2998 60.7998 C
134.2002 60.7002 L
133.8999 61.2002 134.2002 61.7002 133.3999 61.7998 C
132.5 60.2998 134.2002 58.6001 133.7998 56.7998 C
134.2002 55.2002 134.7998 52.6001 135.1001 50.7998 C
135.5 50.5 135.1001 49.2002 135.7002 48.7998 C
135.6001 48.7998 L
136.1001 48 135.6001 46.5 136.2998 46 C
136.2002 46 L
136.7002 44.2002 136.2002 42 136.7002 40.7002 C
136.8999 40.7002 L
136.6001 39.7998 136.6001 40.1001 136.3999 39 C
136.3999 38.1001 136.1001 37.2998 135.3999 36.7002 C
134.2998 37.2002 134.8999 39.7002 134.2998 39.7002 C
134.3999 39.7002 L
134.1001 41.2998 133.6001 42.2998 133.3999 44.2998 C
133.1001 44.5 L
133.5 44.8999 132.7998 45.8999 133 46.3999 C
132.5 46.8999 132.8999 47 132.5 47.2998 C
132.7002 47.5 L
131.5 49.6001 131.2002 52.7002 129.7002 54.7002 C
128.5 52.7002 130.2998 48.8999 130.2998 46.6001 C
130.5 42.2998 131.5 38.7998 131 34.6001 C
130.8999 34.3999 130.7002 34.2998 130.7002 34.1001 C
130.7998 34 L
129.5 32.8999 L
129 33.7002 129.1001 34.7998 128.7998 35.3999 C
129.2002 36.1001 127.8999 37.2998 128.5 37.8999 C
128 38.6001 128 40.7002 128 41.3999 C
127.6001 41.6001 L
128.2998 42.1001 127.2002 42.2002 127.6001 42.7002 C
127.6001 43.3999 127.6001 44.2002 127.1001 44.5 C
127 45.1001 127.2998 45.8999 126.7998 46.2998 C
127.3999 46.7998 126.2002 47.2002 126.5 47.8999 C
126.7002 49.3999 125.7002 50.1001 125.5 51.5 C
125.3999 51.3999 125.3999 51.2002 125.3999 51.1001 C
125 51.7002 L
124.2002 51.7002 124.7002 51.1001 124.6001 50.5 C
124.6001 50.3999 124.7998 50.3999 124.8999 50.3999 C
124.6001 45.3999 126 39.2998 125.5 34.7998 C
124.8999 33.8999 125.2998 32.6001 124.7002 31.7002 C
124.6001 30.7998 124.1001 30.3999 123.7998 29.6001 C
123 31.1001 123.5 32.5 123 33.7998 C
123.1001 33.7998 L
122.3999 35.2002 123.5 36.7002 122.2998 38.2998 C
123.1001 39.2002 121.7002 40.2998 122.2002 41.6001 C
121.7002 42.1001 L
121.7998 42.1001 L
121.7998 42.2998 121.8999 42.7998 121.3999 42.7998 C
121.7002 42.7998 L
121.8999 43.2002 121.7002 43.7002 121.2998 43.7998 C
121.6001 43.7998 L
121.6001 44.2998 L
121.1001 44.2998 L
121.5 45.7998 120.3999 46.7998 120.1001 48.2002 C
119.7998 48 L
119.7998 48.1001 119.7998 48.2998 119.6001 48.3999 C
118.2998 45.7002 119.3999 42.2998 119.2998 39.7998 C
119.3999 39.7998 119.3999 39.7998 Y
119.2002 39.6001 L
119.7998 39.3999 119.2998 38.2002 119.6001 37.8999 C
119.5 37.8999 L
118.7002 35.6001 119.2998 32.7998 118.7998 30.2002 C
119 30.2002 L
118.3999 29.5 118.5 27.5 117 27.5 C
116.7998 27.2002 L
117 28.6001 115.8999 29.7002 116.2998 31.7002 C
116 31.8999 L
116.2002 33 116.2002 33.8999 116 35 C
116.2998 35.2998 L
115.7998 35.6001 L
116.2002 35.7998 L
116.3999 36.6001 116.2998 38.2002 116.2002 39.3999 C
116.2998 39.2002 L
116.1001 41.5 115.7998 43.2998 115.1001 45.3999 C
115.2998 46.1001 115.2002 46.7002 115.1001 47.5 C
113.8999 47.8999 115.2998 49.2998 114.3999 49.8999 C
115.1001 50.2998 113.7998 50.7002 114.6001 51.1001 C
114.5 51.3999 114.2998 50.8999 114.3999 51.3999 C
114.5 51.5 L
114.1001 51.5 L
114.1001 52.6001 L
114.2002 52.6001 L
114.1001 53.1001 114 53.3999 114.6001 53.8999 C
114.2002 54.1001 L
115.1001 54.6001 113.8999 54.8999 114.5 55.3999 C
115.1001 55.8999 115.6001 57 116.2998 57.5 C
116.3999 57.7002 117.1001 58.6001 117.3999 58 C
117.6001 58.2998 117.6001 58.3999 117.2002 58.7002 C
118.6001 60.2998 119.7998 61.2002 120.7002 62.8999 C
120.7998 62.7998 L
121.1001 63.1001 122.2998 64.3999 122.3999 65.2002 C
122.5 65 L
122.3999 65.8999 123.7002 65.7002 123.2002 66.6001 C
124 66.7002 124.2002 67.8999 124.3999 68.7998 C
125.7002 68.7998 124.8999 70 126 70.2998 C
125.5 71.3999 127.1001 71.2002 126.5 72.1001 C
126.7002 72.5 128 72.7002 127.7002 73.7998 C
128.7998 74 128 75.2002 128.7998 75.6001 C
129 76 129.3999 76.2998 129.2002 76.8999 C
129.3999 76.8999 129.3999 76.8999 129.5 76.7998 C
129.8999 76.8999 129.1001 77.3999 129.7002 77.2998 C
130.2002 77.7002 129.2998 78.2002 130.1001 78.5 C
130.1001 78.3999 130 78.2002 130.2002 78.1001 C
130.3999 78.3999 130.2998 78.8999 130.2998 79.2998 C
130.6001 79.1001 L
131 80.3999 L
131.2998 80.2002 L
131.6001 80.6001 131.2002 81.7002 131.6001 81.7002 C
131.8999 81.5 L
132 82.6001 L
132.2002 82.6001 132.2002 82.2998 132.3999 82.6001 C
132.6001 83.6001 L
132.7002 83.6001 132.7002 83.5 132.7998 83.5 C
132.7998 84.1001 L
133 84.1001 L
133.1001 85.1001 L
133.6001 85.1001 L
132.8999 86.2998 134.7998 86.2998 134.2002 87.6001 C
134.7002 88 135 88.7002 135.1001 89.2002 C
135.2002 90 L
136 90.5 135.7998 90.7998 136.1001 91.6001 C
136.6001 92 136.7002 93 136.7998 93.7998 C
136.7998 93.7002 L
f
0 J 0 w 4 M
177 105 m
173 105 L
172.5 55 135.373 20.021 91.479 20.021 c
47.5845 20.021 7.5 55.5 12 114.5 C
1.626 108.4907 L
1.4585 106.2656 1.3735 104.0176 1.3735 101.75 c
1.3735 52.8184 41.0415 13.1499 89.9731 13.1499 c
138.9048 13.1499 178 52 177 105 C
f
0.2 w
116.5 186.75 m
92.75 194.25 67 187.5 v
34.3887 178.9517 20.75 155.75 y
38.5 178.25 67.75 185.5 v
96.1782 192.5464 116.25 183.75 y
116.5 186.75 l
f
0 w
77.25 162 m
75 164.5 l
42.25 149.5 32.5 113.75 v
43 144.75 77.25 162 v
f
111 51.8335 m
109.937 52.9429 109.1665 52 108.1665 51.6665 c
107.1665 51.3335 102.1665 48.1665 98.1665 46.8335 c
94.1665 45.5 93.8335 45.1665 91.1665 45 c
88.5 44.8335 85.8335 44.1665 84 43.6665 c
82.1665 43.1665 80.3335 43.5 78.3335 44 c
76.3335 44.5 70.5 46.3335 67.3335 45.6665 c
64.1665 45 63.6665 43.1665 61.5 42.5 c
59.3335 41.8335 56.3335 41.6665 52.8335 43.3335 c
49.3335 45 47.0103 46.1846 45 47.3335 c
43.8335 48 42.9429 48.8501 42 50.5 c
41.3335 51.6665 38.4165 53.8335 35.5 55.8335 c
31.7988 58.3711 24.3335 63.6665 22.8335 65.5 c
27 57 28.5 55.3335 v
30 53.6665 30 52.3335 32.6665 52.3335 c
35.3335 52.3335 36.6665 51.8335 37.5 51 c
38 50.5 37.1665 50 35.8335 50.1665 c
34.9902 50.272 33.2739 50.1968 34.1665 48.6665 c
34.9165 48.75 35.5835 48.6665 v
36.25 48.5835 37.5 48.75 38.25 48.6665 c
39 48.5835 40.3335 48.1665 40.8335 47.4165 c
40.3335 46.75 39.3335 46.5 v
38.3335 46.25 37.1665 45.8335 37.75 45.3335 c
38.3335 44.8335 39.5835 44.3335 40.9165 44.5835 c
42.25 44.8335 44 45.25 44.6665 44.8335 c
45.3335 44.4165 44.8335 43.5835 43 43 c
41.1665 42.4165 40.9165 41.75 41.8335 41.4165 c
42.75 41.0835 44.5835 41.3335 45.5835 41.9165 c
46.5835 42.5 47 42.3335 47.4165 42.1665 c
47.8335 42 47.9165 41.4165 46.75 40.9165 c
45.5835 40.4165 44.5835 39.5 45.25 39.1665 c
45.9165 38.8335 48.3335 39.4165 49.1665 39.6665 c
50 39.9165 51.4165 40.25 52 39.8335 c
52.5835 39.4165 52.75 39 51.25 38.0835 c
49.75 37.1665 48.75 36.1665 49.3335 35.6665 c
49.9165 35.1665 51.3335 35.8335 52.0835 36.3335 c
52.8335 36.8335 55.4165 38.9165 56.25 38.3335 c
57.0835 37.75 55.9165 35.5 55.0835 34.5835 c
54.25 33.6665 53.8335 32.5 54.9165 32.0835 c
56 31.6665 56.6665 33 57.3335 33.75 c
58 34.5 63.4165 41.3335 64.4165 41 c
65.4165 40.6665 63.3335 36.5835 62.5 35.3335 c
61.6665 34.0835 59.25 29.9165 60.25 29.3335 c
61.25 28.75 64.0835 31.75 64.9165 33.25 c
65.75 34.75 67.9165 38.5835 68.75 38.25 c
69.5835 37.9165 69.5 36.0835 68.25 33.75 c
67 31.4165 64.9165 27.9165 66 27.25 c
67.0835 26.5835 69.3335 29.75 70.5835 32 c
71.8335 34.25 73.8335 38.25 74.9165 37.9165 c
76 37.5835 75.1665 35.25 74.0835 32.4165 c
73 29.5835 71.4165 25.8335 72.5835 25.5 c
73.75 25.1665 76.0835 27.6665 76.9165 29.9165 c
77.75 32.1665 80 37 81.25 36.6665 c
82.5 36.3335 81.8335 34.3335 81.4165 32.8335 c
81 31.3335 80.1665 28.0835 79.4165 26.6665 c
78.6665 25.25 78.8335 24.1665 79.4165 24.1665 c
80 24.1665 83.3335 28.5835 84.5 31.6665 c
85.6665 34.75 86.6665 37.8335 87.4165 38.1665 c
88.1665 38.5 88.1665 38.5 88.0835 37.8335 c
88 37.1665 88.0835 35.6665 87.9165 33.5835 c
87.75 31.5 87.25 28.4165 86.6665 27.1665 c
86.0835 25.9165 85.9165 23 86.75 23.0835 c
87.5835 23.1665 87.4165 24.5 88.25 25.25 c
89.0835 26 90.1665 28 90.5835 30.3335 c
91.75 31.9165 91.9165 33.3335 v
92.0835 34.75 92.5835 35.1665 92.8335 36.1665 c
93.0835 37.1665 93.3335 38.75 93.8335 38.5835 c
94.3335 38.4165 94.25 37.5835 94.3335 36.6665 c
94.4165 35.75 94.75 35 94.5 34.0835 c
94.25 33.1665 94.5 32.5835 94.3335 31.9165 c
94.1665 31.25 93.5835 29.8335 93.4165 28.5 c
93.25 27.1665 93.4165 25.9165 93.25 25.1665 c
93.0835 24.4165 93 23.0835 93.8335 23.6665 c
94.6665 24.25 95.5645 25.6519 95.75 28.25 c
95.8335 29.4165 96.9165 30.5 y
97.3335 30.75 97.4165 31.75 v
97.5 32.75 98.4165 37.3335 99.0835 37.25 c
99.75 37.1665 99.5835 36.6665 99.5835 35.6665 c
99.5835 34.6665 99.9165 32 99.5 30.25 c
99.0835 28.5 98.1665 24.25 98.6665 24.0835 c
99.1665 23.9165 100.8335 24.8335 101.1665 27.5835 c
101.5 30.3335 102.3335 31.75 102.4165 33.75 c
102.5 35.75 103.6665 38.5 104.3335 38.25 c
105 38 105 37.0835 105 36.25 c
105 35.4165 106 31.8335 105.5835 30.6665 c
105.1665 29.5 104.9165 27.0835 105 26.4165 c
105.0835 25.75 105.3335 24.75 105.8335 25.1665 c
106.3335 25.5835 106.9092 27.4375 107.25 30.25 c
107.5835 33 107.6665 34.9165 107.9165 35.8335 c
108.1665 36.75 107.9165 38.0835 108.25 38.5835 c
108.5835 39.0835 108.5835 39.4165 109.0835 38.5835 c
109.5835 37.75 110.25 36.5835 110.25 35.3335 c
110.25 34.0835 110.4165 30.1665 110 28.5 c
109.5835 26.8335 109.9165 26.0835 110.75 26.1665 c
111.7207 26.2637 112.5 28.1665 112.8335 31.3335 c
113.2173 34.9834 113.3335 41.6665 113 44.5835 c
112.6665 47.5 112.9165 49.8335 111 51.8335 c
f
LB
%AI5_EndLayer--
%%PageTrailer
gsave annotatepage grestore showpage
%%Trailer
Adobe_Illustrator_AI5 /terminate get exec
Adobe_ColorImage_AI6 /terminate get exec
Adobe_level2_AI5 /terminate get exec
%%EOF
\ No newline at end of file
Binary file images/CTLAF.pdf has changed
Binary file images/CTLEX.pdf has changed
Binary file images/DPP_image.pdf has changed
Binary file images/emblem.pdf has changed
Binary file images/graphAF.pdf has changed
Binary file images/graphAG.pdf has changed
Binary file images/graphEF.pdf has changed
Binary file images/graphEG.pdf has changed
Binary file images/model_checking.pdf has changed
Binary file images/u-ryukyu-Mark.pdf has changed
Binary file main1.pdf has changed
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/main1.tex	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,73 @@
+\documentclass[twocolumn]{article}
+% \documentclass{article}
+\usepackage{luatexja}
+\usepackage{fontspec}
+\usepackage{graphicx}
+\usepackage{float}
+\restylefloat{figue}
+\pagestyle{empty}
+
+%\hoffset -1in \addtolength{\hoffset}{20mm}
+%\voffset -1in \addtolength{\voffset}{20mm}
+%\oddsidemargin 0mm
+\topmargin -4mm
+%\headheight 13pt
+%\headsep 15mm
+%\textheight 43\baselineskip \addtolength{\textheight}{\topskip}
+%\textwidth 160mm
+%\marginparsep 3mm
+%\marginparwidth 15mm
+%\footskip \headsep
+\input usepackage.tex
+\begin{document}
+\setmainfont{STIX Math}%
+\setmonofont{STIXGeneralBol}[
+  Scale=MatchLowercase
+] % or whatever font you prefer
+
+\ltjsetparameter{jacharrange={-3}}
+
+% \input tgrindfig.tex
+\bibliographystyle{jplain} % for bibliography
+%
+\include{title}
+%\title{}
+% 英文のみのタイトルならば,\title{ ... } とする.
+
+\date{}
+
+% 所属ラベルの定義
+
+% 和文著者名
+\author{
+{河野真治} \\
+琉球大学工学部\\
+{Shinji KONO} \\
+Faculty of Engineering, University of the Ryukyus\\
+}
+
+\maketitle{}
+\begin{abstract}
+\input{abstract}
+\end{abstract}
+
+% {\em 概要 \vspace{0.5cm}}\
+%\begin{center}
+%{\Large
+%\include{title-e}\
+%\include{author-e}} % \hspace{0.7cm}
+%\end{center}
+
+% {     t \Large Abstract}\
+%\include{abstract-e}
+% \\
+% \hspace{0.5cm}
+
+%
+\input 0.tex
+%
+% \input reference.tex
+
+\bibliography{ref}
+\end{document}
+
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/model.ind	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,494 @@
+-title: Gears OSのモデル検査の実装
+
+--abstract:
+
+Gears OSは継続を基本とするKernelとUser Programの記述を採用している。メタプログラミングにより、元のプログラムを変更することなくモデル検査を行うことができる。ここではcodeGear単位での可能な並列実行の列挙を登録したdataGearに対して行うことによりCTLで記述された仕様を検証することができた。検証のメモリとCPUの使用量、技術手法についての考察を行う。
+
+-- OS の信頼性
+
+ OS とは一般的にハードウェアへのアクセス、資源管理を行っているソフトウェアである。つまりコンピュータに接続されている全てのメモリやハードディスクといった記憶装置、また CPU や GPU といった計算処理装置には通常 OS の機能を利用する事でしかアクセスすることは出来なくなっている。
+
+これはコンピュータ上で同時に複数のアプリケーションを利用することが可能なため、それぞれのアプリケーションの動作によって別々に資源要求をする場合がある事になる。この時アプリケーションから直接資源へのアクセスを許してしまうと、ハードウェアで競合が起きてしまい正常な処理が行えなくなってしまう可能性がある。
+このためハードウェアのアクセスを OS を通して行うことによって、ハードウェアでの競合が発生しないようになっている。
+複数のアプリケーションからのタスクを受け取るが、これらの実行する順番やアクセスする時間を管理することで CPU もしくは GPU の利用を複数スレッドに割当ることによって処理効率の向上を図っている。
+
+ またハードウェアへのアクセスや資源管理は複雑で容易ではなく、異なるハードウェアを扱う際にはそれぞれに対応したプログラミングが必要である。しかし OS がこれらのデバイスのアクセスや資源管理を行う機能を持つ事によって、アプリケーション側はハードウェアの違いを気にすることなく、プログラミングする事が出来る。
+
+このように OS はハードウェアのアクセス、資源管理を行う事によって、ハードウェア上での競合を防ぎ、アプリケーションの効率化と複雑な処理を受け持つ重要なソフトウェアである。
+そのため OS の不具合はコンピュータの全ての動作に影響するため、その信頼性はも重要なものであり、また時代とともにユーザーインターフェースや、ネットワークサービス、セキュリティといった進歩するハードウェア、サービスに対応して、OS 自体が拡張される必要がある。
+しかし、OS は非決定的な実行を持つため、その信頼性を保証するには従来のテストとデバックでは不十分と考えられる。
+
+ テストとはソフトウェアやアプリケーションの検証は用いられる手法で、ソフトウェアあるいはアプリケーションに対して、任意の入力を与えた場合に設計で予想された出力が返ってくる事を確かめる事によって信頼性を保証する手法である。
+このテストによる手法は、検証側が定めた範囲での入力による検証であるため全ての入力を抑えられてない場合があり、テストしきれない部分が残ってしまう可能性がある。
+このため、実装が必ずしも設計に沿っているとは保証しきれないという問題点がある。
+
+ テスト以外の信頼性を保証する検証としては形式検証があり、形式検証には定理証明とモデル検査\cite{EdmundM}の2つの手法がある。
+
+定理証明は数学的な証明を用いて信頼性を保証する手法である。証明を用いるため、入力や、状態数に比較的影響をうけずに検証を行う事が出来るが、場合分けの複雑化や、場合分け自体が多くなる事がある。agda\cite{agda} といった定理証明支援器と言われるものがある。しかし証明には数学的な知識が不可欠となる
+
+モデル検査は抽象化されたソフトウェアの実装である。プログラムの仕様である logic を満たすかどうかをモデル検査器を用いて調べる事で信頼性を保証する。
+モデル検査の場合、自動で全ての状態を網羅的に出力し調べるため検証時間を工夫して短くすることが出来る。
+
+ 本研究室で開発している Gears OS\cite{gears} は アプリケーションやソフトウェアの信頼性を OS の機能として保証することを目指しており、信頼性を保証する手法としてモデル検査やhoare logic を用いた定理証明\cite{agda-ryokka}を用いて信頼性へのアプローチを行っている。
+ 本論文では、このGears OS におけるモデル検査を実現する手法について考察する。
+
+--モデル検査とは
+
+モデル検査は、検証したい内容の時相論理式 p をつくり、対象のシステムの初期状態 s のモデル M  があるとき、M,s が p を満たすか (M,s $\models$ p と表記される)モデル検査器を用いて調べることによって信頼性を保証する手法である。
+
+様相論理式にはCTL(Computational Tree Logic)やLTTL(Linear Time Temporal Logic)といったものがあり、それぞれ計算木論理、線形時相論理と言われるものである。またこの2つを合わせたCTL*というものがある。
+
+今回の研究ではLTTLを用いて検証を行う。LTTLは時間を可能性や必然性として様相として持つ線形時相様相論理であるため、状態の遷移や時間の経過を基準に証明を行う場合に利用され、特にシステムのハードウェアやソフトウェアの仕様を記述する手法として用いられる。
+
+モデル検査は様相演算子によって表され、状態が複雑なほど様相演算子で表す状態が増えるため論理式も複雑になってしまう。
+そのためモデルによってCTL、LTTL、CTL*の3つの論理式から適切なものを選び検証を行う事で、論理式の複雑度を抑える必要がある。
+CTLとLTTLの違いは、LTTLは枝の指定がなく、全ての枝でその状態が存在するか否かを見るので、経路ごとの表現ができない。しかしCTLは時相演算子の使い方に制約があるため、全てのLTTLをCTLで表現することはできない。
+
+
+--様相演算子
+
+モデル検査を記述するのに使われる論理式の様相演算子は、枝を表すパス量化子と、いつ成り立つかを表す時相演算子がある。
+CTLとLTTLでは使われる様相演算子に違いはあるがCTL*ではどの様相演算子も使うことが出来る。
+
+
+パス量化子
+\begin{itemize}
+\item ある枝で存在する E
+\item 全ての枝で存在する A
+\end{itemize}
+
+時相演算子
+\begin{itemize}
+\item 枝の次の状態で成り立つ X
+\item この先いつか成り立つ F
+\item このあとずっと成り立つ G
+\item この先いつか状態aになる、そのときまでは状態bが成り立つ U
+\item 状態bがなりたつまで状態a成り立つ R
+\end{itemize}
+
+この様相演算子を用いて表した CTL の導出木が図2.1 から 2.4のようになる。
+
+\begin{figure}[htbp]
+  \begin{center}
+    \begin{tabular}{c}
+
+      \begin{minipage}{0.50\hsize}
+\begin{center}
+      \includegraphics[width=70mm]{images/graphEF.pdf}
+      \caption{ある枝でいつか真になる EF}
+    \label{fig:EF}
+\end{center}
+\end{minipage}
+
+    \begin{minipage}{0.50\hsize}
+   \begin{center}
+  \includegraphics[width=70mm]{images/graphAF.pdf}
+\caption{すべての枝でいつか真になる AF}
+    \label{fig:AF}
+\end{center}
+\end{minipage}
+   \end{tabular}
+\end{center}
+\end{figure}
+
+\begin{figure}[htbp]
+  \begin{center}
+    \begin{tabular}{c}
+  
+ \begin{minipage}{0.50\hsize}
+  \begin{center}
+    \includegraphics[width=70mm]{images/graphEG.pdf}
+  \caption{ある枝でいつも真になる EG}
+    \label{fig:EG}
+  \end{center}
+  \end{minipage}
+
+ \begin{minipage}{0.50\hsize}
+    \begin{center}
+      \includegraphics[width=70mm]{images/graphAG.pdf}
+    \caption{すべての枝でいつも真になる AG}
+    \label{fig:AG}
+    \end{center}
+    \end{minipage}
+    \end{tabular}
+\end{center}
+\end{figure}
+
+参考:蓮尾 一郎,モデル検査入門(2009年)p12\cite{graph}
+
+
+--モデル検査の実装例
+
+モデル検査の方法としてよく利用される物として、SPIN と java path finder(以下JVM)というツールがある。
+
+SPIN は Promela という仕様記述言語で記述する事でC言語の検証器を生成する事で、コンパイルまたは実行時に検証する事ができる。
+
+チャネルを使っての通信や並列動作する有限オートマトンのモデル検査が可能である。
+SPINではオートマトンの並列実行処理の検証が可能であるが、これは厳密には実行するステートをランダムに選択し、実行することで実現している。
+SPIN では以下の性質を検査する事ができる。
+\begin{itemize}
+\item アサーション
+\item デッドロック
+\item 到達性
+\item 進行性
+\item 線形時相論理で記述された仕様
+\end{itemize}
+
+Java Path Finder(JPF) は java プログラムに対するモデル検査ツールで、javaバーチャルマシン(JVM)を直接シミュレーションして実行している。そのため、javaのバイトコードを直接実行可能である。
+
+バイトコードを状態遷移モデルとして扱い、実行時に遷移し得る状態を網羅的に検査する。しかしバイトコードの実行パターンを網羅的に調べるために、膨大なCPU時間を必要とする。
+
+またJVMベースであるため、複数のプロセスの取り扱いが出来ず、状態空間が巨大になる場合は直接実行は出来ず、一部を抜き出してデバックをするのに使用される。
+JPF では以下の事ができる。
+\begin{itemize}
+\item スレッドの可能な実行全てを調べる
+\item デッドロックの検出
+\item アサーション
+\item Partial Order Reduction
+\end{itemize}
+\chapter{Gears OS とCbC}
+%信頼性を保証する一つの方法は、プログラムの可能な実行を数え上げて要求仕様を満たしているかどうかを調べるモデル検査である。
+%本論文では、Gears OS 上のアプリケーション、さらに Gears OS そのものをGears OS 上でモデル検査することに関して考察する。
+
+--Continuation based C
+
+Gears OS は軽量継続を基本とする言語  Continuation based C (以下 CbC )\cite{CbC}を用いた OS の実装である。
+
+CbC は Code Gear という単位を用いて記述する C の機能を持つプログラミング言語である。コンパイルには llvmcbc\cite{llvmcbc} を用いて行う。Code Gear は一般的な処理記述にあたり関数に比べて細かく分割されている。Code Gear 間の遷移は軽量継続である goto 文によって行われる。
+
+軽量継続である goto は継続前の Code Gear に戻ることはないため、プログラムの記述をそのまま状態遷移に落とし込むことが出来る。
+Cの関数の型が\verb+__code+ であるような構文で定義することができる。
+つまり、codeGearはdataGearを通して、次のcodeGearに goto で接続される(図\ref{fig:code-datagear})。
+
+\begin{figure}[tb]
+    \begin{center}
+        \includegraphics[width=140mm]{fig/input-outputDataSegment.pdf}
+    \end{center}
+    \caption{codeGear と DataGear}
+    \label{fig:code-datagear}
+\end{figure}
+
+
+例えば、ソースコード3.1は DiningPhilosohersPloblem(以下DPP) の例題で右のforkを取るという処理を行っているは以下のように書ける。
+ここでは \verb+cas+ (check and set)と busy wait で書いてある。
+通常の関数呼び出しと異なり、stackや環境を
+隠して持つことがなく、計算の状態は codeGear の入力ですべて決まる。
+
+\lstinputlisting[caption=pickUrforkp, label=pickUprfork]{src/PhilsImpl.cbc}
+
+メタ計算 と stub
+codeGear の入力は dataGear と呼ばれる構造体だが、これにはノーマルレベルの dataGear とメタレベルの dataGear の階層がある。メタレベルには計算を実行する CPU やメモリ、計算に関与するすべてのノーマルレベルの dataGear を格納する context などがある。context は通常の OS のプロセスに相当する。
+
+遷移は次の図(\ref{fig:meta_Gear})の上のように codeGear から Code Gear に移動するだけだが、その前に出力する dataGear を context に書き出す必要がある。
+これは通常の関数呼び出しのreturnの処理に相当する。
+
+図3.2の下はメタレベルから見た codeGear である。
+goto 先は meta という meta codeGaer であり、そこで必要なメタ計算が行われる。ここに任意のメタ計算を置くことができる。
+この場合の引数は context と行き先を表す番号だけである。
+ソースコード3.2は DPP における 右のフォークを持ち上げる例題の stub\cite{gearsOS2} である pickup\_rfork\_stub と、その stub meta に goto する ノーマルレベルのものになる。
+このようにノーマルレベルの CodeGear からメタレベルに遷移する際には goto meta で引数を渡すだけで、メタレベルの計算は隠されている。
+
+\lstinputlisting[caption=pickuprfork, label=pickuprfork]{src/pickup_rfork.cbc}
+
+\begin{figure}[tb]
+    \begin{center}
+        \includegraphics[width=150mm]{fig/meta_gear.pdf}
+    \end{center}
+    \caption{Gears OS のメタ計算}
+    \label{fig:meta_Gear}
+\end{figure}
+
+メタレベルから見ると、codeGearの入力は context ただ一つであり、そこから必要なノーマルレベルのdataGearを取り出して、ノーマルレベルのcodeGaerを呼び出す。この取り出しは stub と呼ばれる meta codeGear によって行われる。(図\ref{fig:Context_ref})
+これは通常の関数呼び出しのABI(引数とレジスタやスタック上の関係を決めたバイナリインターフェース)に相当する。
+\newpage
+ stub codeGear は codeGear の接続の間に挟まれる Meta Code Gear である。ノーマルレベルのcodeGear から MetadataGear である Context を直接参照してしまうと、ユーザーがメタ計算をノーマルレベルで自由に記述できてしまい、メタ計算を分離した意味がなくなってしまう。stub Code Gear はこの問題を防ぐため、Context から必要なdataGaerのみをノーマルレベルに和刺す処理を行なっている。
+
+ このようにノーマルレベルの Code Gear からは context を見ることはできず、メタ計算レベルではノーマルレベルの引数の詳細を気にしないで
+処理を行うことができるようになっている。ノーマルレベルとメタレベルは、必要ならばCPUのsystem mode と user mode の状態を変えても良い。
+
+\begin{figure}[tb]
+    \begin{center}
+        \includegraphics[width=140mm]{fig/Context_ref.pdf}
+    \end{center}
+    \caption{Contexが持つDataGaerへのアクセス}
+    \label{fig:Context_ref}
+\end{figure}
+
+\clearpage
+
+--Gears OS における DPP の検証
+
+ここでcodeGearの実行はOSの中での基本単位である必要がある。つまり、codeGearは並行処理などにより割り込まれることなく、codeGearで記述された通りに実行される必要がある。しかしこれは一般的には保証されないものであるため、他のcodeGearによって共有されている dataGearに競合的に書き込んだり、割り込みにより処理が中断したりする可能性が存在する。
+
+しかし、Gears OS は codeGear が正しく実行さることを保証される。つまり、Gears OS は CodeGear の処理によって競合が起きないように実装されているとする。
+
+プログラムの非決定的な実行は入力あるいは並列実行の非決定性から発生する。後者は並列実行される codeGear の順列並び替えとなる。よってこれらの並び替えを生成し、その時に生じる context の状態をすべて数え上げれれば\cite{tauble}モデル検査を実装できることになる。
+
+ただし、context の状態は有限状態になるとは限らず、また有限になるとしても巨大になる場合が考えられる。この場合は OS やアプリケーションのテストとして動作する十分な状態にまで、 context の状態を抽象化することができればモデル検査を行えると考えられる。
+
+
+メモリ領域の集合で一つの状態が定義され、この状態をさらに格納するデータベースを用意する。codeGearのシャッフルの深さ優先探索を行ない、新しく生成された状態をデータベースで参照し、既にあれば、深さを一つ戻り、別な探索枝に移ると言った動作を行うことで新しい状態が生成されてない、もしくは、バグを見つければモデル検査は終了と言うことになる。
+
+ここでは例題として Dining Phisopher 問題のdead lockの検出を行う。
+
+(1)  Dining Phisopherを Gears OS上のアプリケーションとして実装する(DPP)。
+
+(2) DPPをcodeGearのシャッフルの一つして実行する meta codeGear を作成する。
+
+(3) 可能な実行を生成する iterator を作成する。
+
+(4) 状態を記録する memory 木と状態DBを作成する。
+
+この段階でDPPのモデル検査が可能になるはずである。
+
+一方で Gears OSそのものも codeGear で記述されている。CPU毎のC.context、そして、それが共有するKernelのK.context、それからユーザプログラムのU.context などの context からなると考えれ、これら全体は meta dataGear である K.context に含まれていると考える。
+
+また、U.context がDPPのように単純なものであれば OS 全体の context も複雑にはならないため、モデル検査を Gears OS 全体に対して実行可能であると考えられる。
+
+(5) Gears OSを含む codeGear のシャッフル実行を行ない、モデル検査を行う。
+
+これにより、Gears OSの自分自身によるモデル検査が可能になる。この時に、検査するcodeGearと検査されるcodeGearは同じ物であるが、
+実行する meta codeGarが異なっている。現状では、これは異なるmeta codeGearを指定してコンパイルしなおすことにより実現する。
+
+Gears OSの実装は Unix 上のアプリケーションとしての実装と、x.v6\cite{xv6}の書き換えによる実装の二種類があるが、前者ではアプリケーションはOSに直接リンクされる。後者では x.v6 のexec機構により実行される。実際にOSのモデル検査を実行するためには、必要なmeta dataGear/meta codeGear の emulatorを書く必要がある。しかし、検査する必要がない部分は無視できるようにしたいと考えている。
+
+Gears OSは並列実行機構を持っているので、
+
+(6) モデル検査を並行実行\cite{tauble2}することができる
+
+と考えられる。
+
+--Gears OS におけるモデル検査
+
+Gears OS 上で DPP を動かし、導出木を作成。これをLTTLに落とし込むことによってdead lock とlive lock の2つを探索することが可能である。
+
+dead lock とは、スレッドが待機状態に入ったままになってしまう状態を指し、今回扱う DPP の例題だと、全てのスレッドが1つずつfork を持ってしまった場合に発生する。
+
+またlive lock とは待機状態ではないが、同じ状態を繰り返してしまい処理が進まない状態をいい、今回の DPP の例題において「待機状態においてフォークを下ろす。」という処理を入れた場合で、さらに各スレッドが順番に動作するとした時、 dead lock の状態に入った場合において、各スレッドは fork を下ろす動作をするが、その後またfork を持ち上げる動作を行うので live lock 状態になる。
+このようにdead lock 状態ではないが、状態が膠着状態になるのがlive lock である。
+
+LTTLで検証できる 常に p または 常に$\neg$p と、いつか p またはいつか$\neg$p であるから、dead lock を検証する事が可能である。
+
+--Dining Philosophers Problem の例題
+
+モデル検査の検証用のサンプルプログラムとしてDining Philosohers Ploblem (以下DPP)を用いる。これは資源共有問題の1つで、次のような内容である。
+
+5人の哲学者が円卓についており、各々スパゲッティーの皿が目の前に用意されている。スパゲッィーはとても絡まっているので食べるには2本のフォークを使わないと食べれない。しかしフォークはお皿の間に一本ずつおいてあるので、円卓にフォークが5本しか用意されていない。\ref{fig:DPP_image}哲学者は思索と食事を交互に繰り返している。空腹を覚えると、左右のオークを手に取ろうと試み、2本のフォークを取ることに成功するとしばし食事をし、しばらくするとフォークを置いて思索に戻る。隣の哲学者が食事中でフォークが手に取れない場合は、そのままフォークが置かれるのを待つ。
+
+各哲学者を1つのプロセスとすると、この問題では5個のプロセスが並列に動くことになり、全員が1本ずつフォークを持って場合はデッドロックしていることになる。プロセスの並列実行はスケジューラによって制御することで実現する。
+
+以下はDPPにおける右側のフォークを取るプログラムである。
+最初に右のフォークを持たれているかどうかを確認し、いなければ自分を持ち主に登録する。
+その後 next に次に遷移する自分の状態を入れ scheduler に遷移することによって scheduler を通して次の状態に遷移する。このときscheduler からメタ計算を挟むことによって状態をMemoryTree に入れる事ができる。
+左のフォークの持ち主がいた場合は飢餓状態を登録し scheduler に遷移する事で待機状態を維持する。
+
+\lstinputlisting[caption=pickuplfork, label=pickuplfork]{src/pickup_lfork.cbc}
+
+\begin{figure}[tb]
+    \begin{center}
+        \includegraphics[width=140mm]{fig/dpp_image.pdf}
+    \end{center}
+    \caption{Dining Philosohers Ploblem image}
+    \label{DPP_image}
+\end{figure}
+
+
+--Gears OS を用いた DPP 
+
+DPP は哲学者5人が同時に行動するので、5つのスレッドで同時に処理することで状態を生成する事ができる。まず Gears OS の並列構文の par goto が用いることでマルチスレッド処理の実装を行う。 par goto は引数として、data gaer と実行後に継続する\verb+__exit+を渡す。par goto で生成された Task は\verb|__exit| に継続する事で終了する。これによりGears OS は複数スレッドでの実行を行う事が可能である。
+%また Gears OS には Synchronied Queue というマルチスレッドでのデータの一貫性を保証する事ができる Queue があり、これを使い5つのフォークの状態を管理する。
+%Syncrhonized Queueは CAS(Check and Set)を用いて実装されており、値の比較、更新をアトミックに行う命令である。
+5つのフォークの状態はCASで管理する。
+CASを使う際は更新前の値と更新後の値を渡し、渡された更新前の値を実際に保存されているメモリ番地の値と比較し、同じデータがないため、データの更新に成功する。異なる場合は他の書き込みがあったとみなされ、値の更新に失敗し、もう一度 CAS を行う。
+5スレッドで行われる処理の状態は以下の6通りで、think のあとPickup Right fork に戻ってくる。
+\begin{itemize}
+\item Pickup Right fork
+\item Pickup Left fork
+\item eating
+\item Put Right fork
+\item Put Left fork
+\item Thinking
+\end{itemize}
+
+
+この状態は goto next によって遷移する。状態を遷移する際、MemoryTreeによって状態を保存する。またこの状態遷移は無限ループするのでMemoryTree に保管される。またこのMemoryTreeはスレッドの数だけあり、sutats DB によってまとめられている。
+
+DPPの状態遷移は6つの状態を繰り返すため、同じ状態が出た場合には終了させなければならない。そこでstate DBを用いて同じ状態を検索することで終了判定をだす。
+
+この実行は Single thread に行われるが、iterator を使って並行実行しても良い。
+
+必要な時相論理的な仕様は codeGear にコンパイルすることができるので、それを同時に走らせることによりチェックできる。
+これは SPIN の LTL の仕様記述と同じことになる。このようにモデル検査を codeGear と dataGear 上に実現することができる。
+
+
+メモリ領域の登録には
+\verb|add_mamory_area(ContextPtr cbc_contex |
+\verb|,void *addrss,long length) | のようなAPIを用いる。
+状態の格納は、mera codeGear で行われるので、customize が可能である。この段階で対称性の利用や状態の抽象化を行うこと可能となる。
+
+
+\begin{figure}[tb]
+    \begin{center}
+        \includegraphics[width=140mm]{fig/model_checking.pdf}
+    \end{center}
+    \caption{DPP on Gears OS}
+    \label{DPP_chacking}
+\end{figure}
+
+
+--Gears OS でのモデル検査実装
+
+モデル検査を行うのに、次のものを用意した。
+\begin{itemize}
+\item MCTaskManagerImpl.cbc (導出木を作るために dataGear に process (context) を登録する。)
+\item MCWorker.cbc (導出木を作る meta codeGear)
+\item TaskIterator.c
+  次の実行を選択する interator
+\item memory.c ( memory range の扱い (2分木))
+\item crc32.c ( memory 状態の hash)
+\item state\_db.c (状態の data base (2分木))
+\end{itemize}
+
+--Meta の入れ替え
+
+今回のモデル検査では全ての状態を走査する、またこれはランダムに行う必要がある。
+CbC で実装された DPP は ソースコード4.2 の Perl script meta.pm によって正規表現を用いて 遷移先の meta を機械的に置き換える事によってランダム実行を行っている。
+
+mcMeta はモデル検査を行う場合で random は並行実行のシミュレーションを行う場合となっている。
+    7行目は多次元リストのPhilsImpls を regular expression に渡している。これはgoto の遷移先である。
+    その後11行目で受け取った文字列を goto 先にランダムに、配置しており。
+    16行目では文字列を受け取った文字列に遷移する前に mcMeta を挟むようにしている。 
+\lstinputlisting[caption=meta.pm, label=meta.pm]{src/meta.pm}
+    
+--モデル検査のフラグの管理
+
+ ソースコード4.3 の mcDPP.h はモデル検査で使われるフラグの宣言をしている。
+ \lstinputlisting[caption=mcDPP.h, label=mcDPP.h]{src/mcDPP.h}
+ しかし今回の DPP の例題においては t\_eating と f\_F\_eating のフラグしか使用してしいない。
+ 
+
+DPPの例題は食事とそれ以外の状態を循環しているため t\_eating フラグの「食事中」と f\_F\_eating フラグの「いつか食事にする」という2つの状態で表すことが可能となる。また今回のモデル検査では DPP でのデッドロックの検出を行う。
+
+デッドロックはプログラムの処理が止まってしまっている状態であるため、上の2つのフラグがついていない状態がデッドロックということになるため、2つのフラグによって表す事が出来る。
+
+フラグは now と next を見比べながら update する。すべての状態は 今のcontext にのっているが、過去は add\_memory\_rangeで記録されたものあるいはフラグしか見れないようになっている。
+ 
+ソースコード4.4 のmcDPP.cbc ではフラグの比較によるモデル検査を行っている。
+ \lstinputlisting[caption=mcDPP.cbc, label=mcDPP.cbc]{src/mcDPP.cbc}
+ 
+
+
+最初に今のフラグと次のフラグを取得し、次にphils-\>self が 1 である場合はreturn でぬける。
+12行目では 次と今のフラグがet\_ating または t\_F\_eatingであればt\_F\_eating;
+15行目では直前のフラグと今のフラグ、または直前のフラグと次のフラグが違っているとchangeに1が入り、動き続け、そうでなければ18行目で今のフラグとt\_F\_eatingを比較し、あっている場合にはnot<>eating となり、終了する。
+
+
+--導出木の作り方
+\lstinputlisting[caption=putdown\_lfork, label=putdownlfork]{src/putdown_lfork.cbc}
+ソースコード5.5 は DPP の例題のもので、Philospher の Leftfork を置く部分である。フォークは各 Philosher 間で共有されるデータのため、競合が起きないように CAS を行う必要がある。
+このソースコードは以下のソースコード5.6に変換される。
+Gearef はContext から Data Gaer を参照するマクロになっている。
+goto 先がmcMeta になっている。
+
+\lstinputlisting[caption=putdownlforkImpl, label=putdownlforkImpl]{src/putdown_lforkImpl.cbc}
+
+Gears OS のノーマルレベルの code は変換されるが、mcMeta は \_\_ncode と記述されており、これは meta として扱い変換しない意味である。
+9行目にある mctiにタスクを渡している。
+
+\lstinputlisting[caption=mcMeta, label=mcMeta]{src/mcMeta.cbc}
+
+--実行結果
+
+次にモデル検査を行った結果を一部抜き出して掲載する。
+
+not<>eating はデッドロックフラグである
+次の5つの値はフォークを持っているスレッドを表しており、この場合は各スレッドが一本ずつ持っている状態を表している。
+食事をしているスレッドがある場合は5つの値のうち2つは同じ値になっている。
+その次の5つの値が各スレッドの状態を示している。
+flag 0 の後の部分がstateDBによって同じ状態のものを探しハッシュ値で抽象化している部分となり、最後にこの処理を行っていたスレッド番号となっている。
+
+\begin{itembox}[1]{モデル検査の実行結果を一部抜粋}
+\begin{verbatim}
+not <> eating
+00000000 01000000 02000000 03000000 04000000 
+01000000 01000000 01000000 01000000 01000000
+flag 0 0x7fb22255e090 -> 0x7fb22255e090 hash 5feba6a0 iter 5
+
+not <> eating
+00000000 01000000 02000000 03000000 04000000 
+01000000 01000000 01000000 01000000 01000000
+flag 0 0x7fb22255e090 -> 0x7fb22255e090 hash 5feba6a0 iter 4
+
+not <> eating
+00000000 01000000 02000000 03000000 04000000 
+01000000 01000000 01000000 01000000 01000000
+flag 0 0x7fb22255e090 -> 0x7fb22255e090 hash 5feba6a0 iter 3
+
+not <> eating
+00000000 01000000 02000000 03000000 04000000 
+01000000 01000000 01000000 01000000 01000000
+flag 0 0x7fb22255e090 -> 0x7fb22255e090 hash 5feba6a0 iter 2
+
+not <> eating
+00000000 01000000 02000000 03000000 04000000 
+01000000 01000000 01000000 01000000 01000000
+flag 0 0x7fb22255e090 -> 0x7fb22255e090 hash 5feba6a0 iter 1
+\end{verbatim}
+\end{itembox}
+
+--評価
+
+--今後の展開
+
+
+---OS自体のモデル検査
+
+Gears OS 自体も CodeGear と DataGear で書かれているので、CPU毎の C.context、共有するkernel のK.context、ユーザープログラムの U.context と考えることができ、これらはmeta dataGear であるK.context に含まれている。
+
+U.context がDPPのような単純なものならば、OS全体のcontext も複雑にはならないため、DPP のモデル検査のようにシャッフル実行を行う事が出来れば、この枠組みの中で検証することが可能であると思われる。
+
+しかし、OS のモデル検査にはいくつかの問題がある。
+
+OS の場合はほとんどが meta level の記述なので、それを emulation する必要がある。メモリやCPUは問題ないが Translation Lookaside Buffer (以下TLB) を emulation する必要があるが、TLB は仮想アドレスから物理アドレスへの変換を高速化する際に使われるメモリ管理ユニットのキャッシュであり、またマイクロプロセッサによる物理空間と仮想空間の仮想記憶をサポートする際に利用しているため、emulation の仕方に工夫が必要となる。
+
+また CPUの状態、例えばキャッシュなども emulation する場合には、codeGear の大きさをメモリアクセスレベルまで小さくする必要がある。
+つまり、見つけたいバグを知っていれば検証は可能だが、起きる可能性のある未知のメタ計算が出てくる場合の検証方法と、その未知のメタ計算を探す手法が必要となってくる。
+
+モデル検査は膨大な状態空間を探索する必要があり、OS 込みのモデル検査となると厳しいと予想される。
+しかし、OS 特定部分だけに絞り調べる場合には巨大なアプリケーションは必要ない思われ、普通のテストの代わりにモデル検査を使うように検証を行う事が出来ると考えられる。
+
+この OS を含むモデル検査は OS の拡張性をデバイスドライバの開発などに向いていると考えられる。この場合は、デバイス自体の仕様が codeGear/dataGear
+で書かれている必要がある。
+
+Gears OS は Unix 上のApplicationとして実現されているものと、xv6 の書き換えとして実現するものとの二種類がある。
+
+xv6 は linux を素体としたもので OS としては小さい構造となっている。そのためまずはこのxv6 に対してモデル検査を行えるようになることが OS 自体のモデル検査を行う上での次の目標となる。
+
+---プログラムの展開と状態数の抽象化
+ GearsOS におけるモデル検査はプログラムの実行を網羅的に行うことでプログラムの状態を展開しデッドロックを調べる。反例を探す場合はプログラムの状態を展開しつつ反例が見つかった時点で状態の生成を停止してもよいが、証明を行う場合は全ての状態を生成する必要がある。状態の生成は初期状態から非決定的に生成される全ての次の状態を生成することにより行われ、これを状態の展開という。証明はプログラムの状態の数に比例し、またプログラムが含む変数の数の指数乗の計算量がかかる。この展開の際に仕様も同時に展開することでプログラムに対する仕様の検証を行う事が可能である。
+
+状態の展開は実行可能な状態の組み合わせを深さ優先探索で調べ、木構造で保存する方法である。この時、同じ状態の組み合わせがあれば共有することで状態を抽象化する事で、状態数が増えすぎる事を抑える。
+
+---dataGear
+
+スレッド数がランダムで決まる、または途中でスレッドが増える例題がある場合について考える。
+その例題を走査するためには、スレッド の状態を memoryTree として保管する iterator をスレッドの数だけ用意する必要がある。
+しかし現在のData Gear は予め生成しておいたものであり、実行中に生成をする方法がない。
+また生成される Data Gear は iterator であるためノーマルレベルからは呼び出せないようにしておく必要がある。このため Data Gear の自動生成 には工夫が必要となる。
+
+---Gears Debugger
+
+モデル検査を行った際に、メモリの状態を iterator で保管している。
+
+このmemoryTree を実行履歴としてtrace し遡ることが出来れば、展開された状態から任意の実行状態を作る事が可能であると考えらる。さらに mcMeta に対して debugger を埋め込む事によって、状態の展開から bug の発生箇所を発見し、debug することが可能であると考えられる。
+
+---Red Black Tree への応用
+Red Black Tree は平衡二分木の一種で複雑な構造ではあるが、探索、挿入、削除の最悪計算量O($\log${n})となるものである。この例題のモデル検査したいと考えている。
+
+Red Black Tree をモデル検査するためにはノードを循環構造に事によって状態を有限で表す必要があり。またノードの値を iterator で整合性の検証の仕方について考察する必要がある。
+
+% \chapter{まとめ}
+%Gears OS でのモデル検査の実装方法について考察した。DPPの検証自体は前に書かれたことがある\cite{}。
+%この時のmemory tree と state DB を使うことも可能だが、これ自体はCで書かれている。
+%これもcodeGear で書く方が望ましい。まず、DPPを動かすとこから始めて、OS自体を検証する方向に研究を進めていきたい。
+
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/src/PhilsImpl.cbc	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,4 @@
+__code putdown_rfork(struct PhilsImpl* phils, __code next(...)) {
+    struct AtomicT_int* right_fork = phils->Rightfork;
+    goto right_fork->set(-1, putdown_lfork);
+}
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/src/PhilsImpl2.cbc	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,49 @@
+Phils* createPhilsImpl(struct Context* context, int id, AtomicT_int* right, AtomicT_int* left) {
+    struct Phils* phils  = new Phils();
+    struct PhilsImpl* phils_impl = new PhilsImpl();
+    phils->phils = (union Data*)phils_impl;
+    phils_impl->Leftfork = left;
+    phils_impl->Rightfork = right;
+    phils_impl->self = id;
+    phils->putdown_lfork = C_putdown_lforkPhilsImpl;
+    phils->putdown_rfork = C_putdown_rforkPhilsImpl;
+    phils->eating = C_eatingPhilsImpl;
+    phils->pickup_rfork = C_pickup_rforkPhilsImpl;
+    phils->pickup_lfork = C_pickup_lforkPhilsImpl;
+    phils->thinking = C_thinkingPhilsImpl;
+    return phils;
+}
+
+
+__code putdown_rfork(struct PhilsImpl* phils, __code next(...)) {
+    struct AtomicT_int* right_fork = phils->Rightfork;
+    goto right_fork->set(-1, putdown_lfork);
+}
+
+__code putdown_lfork(struct PhilsImpl* phils, __code next(...)) {
+    struct AtomicT_int* left_fork = phils->Leftfork;
+    goto left_fork->set(-1, thinking);
+
+}
+
+__code thinking(struct PhilsImpl* phils, struct Fork* fork, __code next(...)) {
+    printf("%d: thinking\n", phils->self);
+    goto pickup_lfork();
+}
+
+__code pickup_rfork(struct PhilsImpl* phils, __code next(...)) {
+    struct AtomicT_int* right_fork = phils->Rightfork;
+    goto right_fork->checkAndSet(-1, phils->self, pickup_lfork, pickup_rfork);
+}
+
+__code pickup_lfork(struct PhilsImpl* phils, __code next(...)) {
+    struct AtomicT_int* left_fork = phils->Leftfork;
+    goto left_fork->checkAndSet(-1, phils->self, pickup_rfork, eating);
+
+}
+
+__code eating(struct PhilsImpl* phils, __code next(...)) {
+    printf("%d: eating\n", phils->self);
+    goto putdown_rfork();
+}
+
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/src/log.txt	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,11 @@
+not <> eating
+00000000 ffffffff ffffffff 03000000 04000000 01000000 23000000 01000000 01000000 01000000
+flag 0 0x7fb22255da00 -> 0x7fb22255da00 hash 8e73aa1a iter 4
+
+not <> eating
+00000000 ffffffff 02000000 03000000 04000000 01000000 23000000 01000000 01000000 01000000
+flag 0 0x7fb22255da00 -> 0x7fb22255dc30 hash a22965a2 iter 3
+
+not <> eating
+00000000 ffffffff 02000000 03000000 04000000 01000000 23000000 01000000 01000000 01000000
+flag 0 0x7fb22255dc30 -> 0x7fb22255dc30 hash a22965a2 iter 5
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/src/mcDPP.cbc	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,22 @@
+void mcDPP(struct MCTaskManagerImpl* mcti, struct MCWorker* mcWorker,
+	   StateDB now,StateDB next, int check) {
+   PhilsImpl* phils =
+	(PhilsImpl*)GearImpl(mcWorker->mcContext, Phils, phils);
+   int prev_now = now->flag; 
+   int prev_next = next->flag; 
+      if (phils->self != 1) return;
+      enum Code nextc = mcWorker->mcContext->next;
+      if (nextc == C_putdown_rforkPhilsImpl ) {
+        next->flag |= t_eating; 
+      }
+      if ((next->flag & t_eating )||(next->flag & t_F_eating) ) {
+        now->flag |= t_F_eating; 
+      }
+      if ( prev_now != now->flag || prev_next != next->flag )
+         mcWorker->change = 1;
+      if (check) {
+         if (!(now->flag & t_F_eating)) {
+             printf("not <> eating\n");
+       }
+   }
+}
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/src/mcDPP.h	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,18 @@
+#ifndef MCDPP_H
+    #define MCDPP_H 0
+    #include "context.h"
+    #include "ModelChecking/state_db.h"
+    /*
+       00  don't care 
+       01  true
+       11  false
+     */
+    enum DPPMC_F {
+       t_eating = 0x1,       // eating
+       f_eating = 0x3,       // ¬eating
+       t_F_eating  = 0x4,     // <> eating
+       f_F_eating  = 0xc,     // ¬<> eating
+       t_GF_eating  = 0x10,     // [] <> eating
+       f_GF_eating  = 0x30,     // ¬[] <> eating
+    };
+    extern void mcDPP(struct MCTaskManagerImpl* mcti, struct MCWorker* mcworker, StateDB now,StateDB next, int checking) ;
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/src/mcMeta.cbc	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,68 @@
+    __ncode mcMeta(struct Context* context, enum Code next) {
+        // 次の実行を context に覚えておく
+        context->next = next; // remember next Code Gear
+        // Worker (複数)と TaskManager(singleton)を context から取ってくる
+        struct MCWorker* mcWorker =  (struct MCWorker*) context->worker->worker;
+        StateNode st ;
+        StateDB out = &st;
+        struct Element* list = NULL;
+        struct MCTaskManagerImpl* mcti = (struct MCTaskManagerImpl *)mcWorker->taskManager->taskManager;
+        out->memory = mcti->mem;
+        out->hash = get_memory_hash(mcti->mem,0);
+        if (dump) {
+            dump_memory(mcti->mem);printf("\n");
+        }
+        // state を db から探す
+        int found = visit_StateDB(out, &mcti->state_db, &out,mcWorker->visit);
+        
+        // モデル検査フラグの更新
+        mcti->statefunc(mcti, mcWorker, mcWorker->parent, out, mcWorker->checking);
+        if (found) {
+          // 既に状態 db にあった場合
+          // iterator を探す、終わっていたら上に戻る
+          while(!(list = takeNextIterator(mcWorker->task_iter))) {
+              // no more branch, go back to the previous one
+              TaskIterator* prev_iter = mcWorker->task_iter->prev;
+              if (!prev_iter) {
+                //  もう上がないので全部探した
+                printf("All done count %d repeat %d\n",mcWorker->count,mcWorker->visit);
+                memory_usage();
+                // flag の更新を見る
+                if (! mcWorker->change && mcWorker->checking) {
+                  exit(0);
+                } else if (! mcWorker->change ) {
+                    // flag の更新は終わったので、フラグを調べる
+                    mcWorker->checking = 1;
+                } 
+ 
+                // 最初から始める
+                mcWorker->change = 0;
+                mcWorker->visit++;
+                // start from root state and iterator
+                mcWorker->depth = 0;
+                struct SingleLinkedQueue* mcSingleQueue = (struct SingleLinkedQueue*)mcWorker->mcQueue->queue;
+                mcWorker->task_iter = createQueueIterator(mcSingleQueue->top->next,mcWorker->root,NULL);
+              } else {
+                // 一つ上に戻る
+                mcWorker->depth--;
+                freeIterator(mcWorker->task_iter);
+                mcWorker->task_iter = prev_iter;
+              }
+          }
+          // 戻った時にメモリを書き戻す
+          restore_memory(mcWorker->task_iter->state->memory);
+          context = (Context *)list->data;
+          // printf("restore list %x next %x\n",(int)list,(int)(list->next));
+        } else {
+          // 一段、深く実行するので、新しく iterator を作る
+          mcWorker->depth++;
+          struct SingleLinkedQueue* mcSingleQueue = (struct SingleLinkedQueue*)mcWorker->mcQueue->queue;
+          mcWorker->task_iter = createQueueIterator(mcSingleQueue->top->next,out,mcWorker->task_iter);
+        }
+        // normal level に戻る
+        mcWorker->parent = out;
+        mcWorker->count++;
+        mcWorker->mcContext = context;
+        //goto list->phils->next(list->phils,list);
+        goto meta(context, context->next);
+    }
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/src/meta.pm	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,21 @@
+package meta;
+use strict;
+use warnings;
+
+sub replaceMeta {
+  return (
+    [qr/PhilsImpl/ => \&generateMcMeta],
+  );
+}
+
+sub generateRandomMeta {
+  my ($context, $next) = @_;
+  return "goto random($context, $next);";
+}
+
+sub generateMcMeta {
+  my ($context, $next) = @_;
+  return "goto mcMeta($context, $next);";
+}
+
+1;
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/src/pickup_lfork.cbc	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,11 @@
+ code pickup_lfork(PhilsPtr self,
+  TaskPtr current_task)
+{
+    if (self->left_fork->owner == NULL) {
+        self->left_fork->owner = self;
+        self->next = pickup_rfork;
+        goto scheduler(self, current_task);
+    } else {
+        self->next = hungry1;
+        goto scheduler(self, current_task);
+}
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/src/pickup_rfork.cbc	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,16 @@
+   __code pickup_rfork_stub(ContextPtr cbc_context) 
+   {
+      PhisPtr self = GearRef(cbc_context,D_Phisoloper);
+      PhisPtr next = GearRef(cbc_context,D_next);
+      goto  pickup_rfork(ContextPtr cbc_context, self,next);
+   }
+
+    __code pickup_rfork(PhilsPtr self,
+       __code __next(ContextPtr cbc_context));
+   {
+     if (cas(self->right_fork->owner, self) == self) {
+          goto meta(context,C_phil_think);
+     } else {
+         goto meta(context,C_pickup_rfork);
+     }
+   }
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/src/putdown_lfork.cbc	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,4 @@
+__code putdown_lfork(struct PhilsImpl* phils, __code next(...)) {
+    struct AtomicT_int* left_fork = phils->Leftfork;
+    goto left_fork->set(-1, thinking);
+}
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/src/putdown_lforkImpl.cbc	Tue Apr 27 14:25:56 2021 +0900
@@ -0,0 +1,8 @@
+__code putdown_lforkPhilsImpl(struct Context *context,
+	struct PhilsImpl* phils, enum Code next) {
+    struct AtomicT_int* left_fork = phils->Leftfork;
+    Gearef(context, AtomicT_int)->atomicT_int = (union Data*) left_fork;
+    Gearef(context, AtomicT_int)->newData = -1;
+    Gearef(context, AtomicT_int)->next = C_thinkingPhilsImpl;
+    goto mcMeta(context, left_fork->set);
+}